Skip to main content

Showing 1–22 of 22 results for author: Alrahis, L

  1. arXiv:2405.07061  [pdf, other

    cs.LG cs.AR cs.CR

    LLMs and the Future of Chip Design: Unveiling Security Risks and Building Trust

    Authors: Zeng Wang, Lilas Alrahis, Likhitha Mankali, Johann Knechtel, Ozgur Sinanoglu

    Abstract: Chip design is about to be revolutionized by the integration of large language, multimodal, and circuit models (collectively LxMs). While exploring this exciting frontier with tremendous potential, the community must also carefully consider the related security risks and the need for building trust into using LxMs for chip design. First, we review the recent surge of using LxMs for chip design in… ▽ More

    Submitted 11 May, 2024; originally announced May 2024.

  2. arXiv:2405.05590  [pdf, other

    cs.CR cs.AR cs.LG

    TroLLoc: Logic Locking and Layout Hardening for IC Security Closure against Hardware Trojans

    Authors: Fangzhou Wang, Qijing Wang, Lilas Alrahis, Bangqi Fu, Shui Jiang, Xiaopeng Zhang, Ozgur Sinanoglu, Tsung-Yi Ho, Evangeline F. Y. Young, Johann Knechtel

    Abstract: Due to cost benefits, supply chains of integrated circuits (ICs) are largely outsourced nowadays. However, passing ICs through various third-party providers gives rise to many security threats, like piracy of IC intellectual property or insertion of hardware Trojans, i.e., malicious circuit modifications. In this work, we proactively and systematically protect the physical layouts of ICs against… ▽ More

    Submitted 9 May, 2024; originally announced May 2024.

  3. arXiv:2403.01860  [pdf, other

    cs.CR

    MaliGNNoma: GNN-Based Malicious Circuit Classifier for Secure Cloud FPGAs

    Authors: Lilas Alrahis, Hassan Nassar, Jonas Krautter, Dennis Gnad, Lars Bauer, Jorg Henkel, Mehdi Tahoori

    Abstract: The security of cloud field-programmable gate arrays (FPGAs) faces challenges from untrusted users attempting fault and side-channel attacks through malicious circuit configurations. Fault injection attacks can result in denial of service, disrupting functionality or leaking secret information. This threat is further amplified in multi-tenancy scenarios. Detecting such threats before loading onto… ▽ More

    Submitted 4 March, 2024; originally announced March 2024.

    Comments: Will appear in the 2024 IEEE International Symposium on Hardware Oriented Security and Trust (HOST)

  4. arXiv:2402.18986  [pdf, other

    cs.CR

    Always be Pre-Training: Representation Learning for Network Intrusion Detection with GNNs

    Authors: Zhengyao Gu, Diego Troy Lopez, Lilas Alrahis, Ozgur Sinanoglu

    Abstract: Graph neural network-based network intrusion detection systems have recently demonstrated state-of-the-art performance on benchmark datasets. Nevertheless, these methods suffer from a reliance on target encoding for data pre-processing, limiting widespread adoption due to the associated need for annotated labels--a cost-prohibitive requirement. In this work, we propose a solution involving in-cont… ▽ More

    Submitted 29 February, 2024; originally announced February 2024.

    Comments: Will appear in the 2024 International Symposium on Quality Electronic Design (ISQED'24)

  5. arXiv:2305.01840  [pdf, other

    cs.CR

    AutoLock: Automatic Design of Logic Locking with Evolutionary Computation

    Authors: Zeng Wang, Lilas Alrahis, Dominik Sisejkovic, Ozgur Sinanoglu

    Abstract: Logic locking protects the integrity of hardware designs throughout the integrated circuit supply chain. However, recent machine learning (ML)-based attacks have challenged its fundamental security, initiating the requirement for the design of learning-resilient locking policies. A promising ML-resilient locking mechanism hides within multiplexer-based locking. Nevertheless, recent attacks have su… ▽ More

    Submitted 2 May, 2023; originally announced May 2023.

    Comments: To be presented at IEEE/IFIP International Conference on Dependable Systems and Networks (DSN) 2023

  6. arXiv:2304.02510  [pdf, other

    cs.CR

    FPGA-Patch: Mitigating Remote Side-Channel Attacks on FPGAs using Dynamic Patch Generation

    Authors: Mahya Morid Ahmadi, Lilas Alrahis, Ozgur Sinanoglu, Muhammad Shafique

    Abstract: We propose FPGA-Patch, the first-of-its-kind defense that leverages automated program repair concepts to thwart power side-channel attacks on cloud FPGAs. FPGA-Patch generates isofunctional variants of the target hardware by injecting faults and finding transformations that eliminate failure. The obtained variants display different hardware characteristics, ensuring a maximal diversity in power tr… ▽ More

    Submitted 5 April, 2023; originally announced April 2023.

    Comments: 6 pages

  7. arXiv:2303.16690  [pdf, ps, other

    cs.CR

    Graph Neural Networks for Hardware Vulnerability Analysis -- Can you Trust your GNN?

    Authors: Lilas Alrahis, Ozgur Sinanoglu

    Abstract: The participation of third-party entities in the globalized semiconductor supply chain introduces potential security vulnerabilities, such as intellectual property piracy and hardware Trojan (HT) insertion. Graph neural networks (GNNs) have been employed to address various hardware security threats, owing to their superior performance on graph-structured data, such as circuits. However, GNNs are a… ▽ More

    Submitted 29 March, 2023; originally announced March 2023.

    Comments: Will be presented at 2023 IEEE VLSI Test Symposium (VTS)

  8. arXiv:2303.14009  [pdf, other

    cs.CR

    PoisonedGNN: Backdoor Attack on Graph Neural Networks-based Hardware Security Systems

    Authors: Lilas Alrahis, Satwik Patnaik, Muhammad Abdullah Hanif, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: Graph neural networks (GNNs) have shown great success in detecting intellectual property (IP) piracy and hardware Trojans (HTs). However, the machine learning community has demonstrated that GNNs are susceptible to data poisoning attacks, which result in GNNs performing abnormally on graphs with pre-defined backdoor triggers (realized using crafted subgraphs). Thus, it is imperative to ensure that… ▽ More

    Submitted 24 March, 2023; originally announced March 2023.

    Comments: This manuscript is currently under review at IEEE Transactions on Computers

  9. arXiv:2303.06746  [pdf, other

    cs.CR

    DNN-Alias: Deep Neural Network Protection Against Side-Channel Attacks via Layer Balancing

    Authors: Mahya Morid Ahmadi, Lilas Alrahis, Ozgur Sinanoglu, Muhammad Shafique

    Abstract: Extracting the architecture of layers of a given deep neural network (DNN) through hardware-based side channels allows adversaries to steal its intellectual property and even launch powerful adversarial attacks on the target system. In this work, we propose DNN-Alias, an obfuscation method for DNNs that forces all the layers in a given network to have similar execution traces, preventing attack mo… ▽ More

    Submitted 12 March, 2023; originally announced March 2023.

    Comments: 10 pages

  10. arXiv:2303.03372  [pdf, other

    cs.CR cs.LG

    ALMOST: Adversarial Learning to Mitigate Oracle-less ML Attacks via Synthesis Tuning

    Authors: Animesh Basak Chowdhury, Lilas Alrahis, Luca Collini, Johann Knechtel, Ramesh Karri, Siddharth Garg, Ozgur Sinanoglu, Benjamin Tan

    Abstract: Oracle-less machine learning (ML) attacks have broken various logic locking schemes. Regular synthesis, which is tailored for area-power-delay optimization, yields netlists where key-gate localities are vulnerable to learning. Thus, we call for security-aware logic synthesis. We propose ALMOST, a framework for adversarial learning to mitigate oracle-less ML attacks via synthesis tuning. ALMOST use… ▽ More

    Submitted 6 March, 2023; originally announced March 2023.

    Comments: Accepted at Design Automation Conference (DAC 2023)

  11. arXiv:2301.11804  [pdf, other

    cs.CR

    TrojanSAINT: Gate-Level Netlist Sampling-Based Inductive Learning for Hardware Trojan Detection

    Authors: Hazem Lashen, Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu

    Abstract: We propose TrojanSAINT, a graph neural network (GNN)-based hardware Trojan (HT) detection scheme working at the gate level. Unlike prior GNN-based art, TrojanSAINT enables both pre-/post-silicon HT detection. TrojanSAINT leverages a sampling-based GNN framework to detect and also localize HTs. For practical validation, TrojanSAINT achieves on average (oa) 78% true positive rate (TPR) and 85% true… ▽ More

    Submitted 27 January, 2023; originally announced January 2023.

    Comments: Will be presented at the IEEE International Symposium on Circuits and Systems (ISCAS), 2023

  12. arXiv:2211.16495  [pdf, other

    cs.LG cs.AR cs.CR

    Graph Neural Networks: A Powerful and Versatile Tool for Advancing Design, Reliability, and Security of ICs

    Authors: Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu

    Abstract: Graph neural networks (GNNs) have pushed the state-of-the-art (SOTA) for performance in learning and predicting on large-scale data present in social networks, biology, etc. Since integrated circuits (ICs) can naturally be represented as graphs, there has been a tremendous surge in employing GNNs for machine learning (ML)-based methods for various aspects of IC design. Given this trajectory, there… ▽ More

    Submitted 29 November, 2022; originally announced November 2022.

    Comments: to appear at ASPDAC'23

  13. arXiv:2211.07997  [pdf, other

    cs.CR cs.AR cs.LG

    Security Closure of IC Layouts Against Hardware Trojans

    Authors: Fangzhou Wang, Qijing Wang, Bangqi Fu, Shui Jiang, Xiaopeng Zhang, Lilas Alrahis, Ozgur Sinanoglu, Johann Knechtel, Tsung-Yi Ho, Evangeline F. Y. Young

    Abstract: Due to cost benefits, supply chains of integrated circuits (ICs) are largely outsourced nowadays. However, passing ICs through various third-party providers gives rise to many threats, like piracy of IC intellectual property or insertion of hardware Trojans, i.e., malicious circuit modifications. In this work, we proactively and systematically harden the physical layouts of ICs against post-desi… ▽ More

    Submitted 15 November, 2022; originally announced November 2022.

    Comments: To appear in ISPD'23

  14. arXiv:2208.10868  [pdf, other

    cs.CR

    AppGNN: Approximation-Aware Functional Reverse Engineering using Graph Neural Networks

    Authors: Tim Bucher, Lilas Alrahis, Guilherme Paim, Sergio Bampi, Ozgur Sinanoglu, Hussam Amrouch

    Abstract: The globalization of the Integrated Circuit (IC) market is attracting an ever-growing number of partners, while remarkably lengthening the supply chain. Thereby, security concerns, such as those imposed by functional Reverse Engineering (RE), have become quintessential. RE leads to disclosure of confidential information to competitors, potentially enabling the theft of intellectual property. Tradi… ▽ More

    Submitted 23 August, 2022; originally announced August 2022.

    Comments: To appear at the 2022 International Conference On Computer-Aided Design (ICCAD)

  15. arXiv:2208.08554  [pdf, other

    cs.CR

    Embracing Graph Neural Networks for Hardware Security (Invited Paper)

    Authors: Lilas Alrahis, Satwik Patnaik, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: Graph neural networks (GNNs) have attracted increasing attention due to their superior performance in deep learning on graph-structured data. GNNs have succeeded across various domains such as social networks, chemistry, and electronic design automation (EDA). Electronic circuits have a long history of being represented as graphs, and to no surprise, GNNs have demonstrated state-of-the-art perform… ▽ More

    Submitted 17 August, 2022; originally announced August 2022.

    Comments: To appear at ICCAD 2022

  16. arXiv:2208.02868  [pdf, other

    cs.LG cs.CR

    GNN4REL: Graph Neural Networks for Predicting Circuit Reliability Degradation

    Authors: Lilas Alrahis, Johann Knechtel, Florian Klemme, Hussam Amrouch, Ozgur Sinanoglu

    Abstract: Process variations and device aging impose profound challenges for circuit designers. Without a precise understanding of the impact of variations on the delay of circuit paths, guardbands, which keep timing violations at bay, cannot be correctly estimated. This problem is exacerbated for advanced technology nodes, where transistor dimensions reach atomic levels and established margins are severely… ▽ More

    Submitted 4 August, 2022; originally announced August 2022.

    Comments: This article will be presented in the International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES) 2022 and will appear as part of the ESWEEK-TCAD special issue

  17. arXiv:2206.00402  [pdf, other

    cs.CR cs.LG

    NeuroUnlock: Unlocking the Architecture of Obfuscated Deep Neural Networks

    Authors: Mahya Morid Ahmadi, Lilas Alrahis, Alessio Colucci, Ozgur Sinanoglu, Muhammad Shafique

    Abstract: The advancements of deep neural networks (DNNs) have led to their deployment in diverse settings, including safety and security-critical applications. As a result, the characteristics of these models have become sensitive intellectual properties that require protection from malicious users. Extracting the architecture of a DNN through leaky side-channels (e.g., memory access) allows adversaries to… ▽ More

    Submitted 1 June, 2022; originally announced June 2022.

    Comments: The definitive Version of Record will be Published in the 2022 International Joint Conference on Neural Networks (IJCNN)

  18. arXiv:2112.07178  [pdf, other

    cs.CR

    MuxLink: Circumventing Learning-Resilient MUX-Locking Using Graph Neural Network-based Link Prediction

    Authors: Lilas Alrahis, Satwik Patnaik, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: Logic locking has received considerable interest as a prominent technique for protecting the design intellectual property from untrusted entities, especially the foundry. Recently, machine learning (ML)-based attacks have questioned the security guarantees of logic locking, and have demonstrated considerable success in deciphering the secret key without relying on an oracle, hence, proving to be v… ▽ More

    Submitted 14 December, 2021; originally announced December 2021.

    Comments: Will be published in Proc. Design, Automation and Test in Europe (DATE) 2022

  19. arXiv:2111.07062  [pdf, other

    cs.CR

    UNTANGLE: Unlocking Routing and Logic Obfuscation Using Graph Neural Networks-based Link Prediction

    Authors: Lilas Alrahis, Satwik Patnaik, Muhammad Abdullah Hanif, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: Logic locking aims to prevent intellectual property (IP) piracy and unauthorized overproduction of integrated circuits (ICs). However, initial logic locking techniques were vulnerable to the Boolean satisfiability (SAT)-based attacks. In response, researchers proposed various SAT-resistant locking techniques such as point function-based locking and symmetric interconnection (SAT-hard) obfuscation.… ▽ More

    Submitted 13 November, 2021; originally announced November 2021.

    Comments: Published in 2021 International Conference On Computer-Aided Design (ICCAD)

  20. UNSAIL: Thwarting Oracle-Less Machine Learning Attacks on Logic Locking

    Authors: Lilas Alrahis, Satwik Patnaik, Johann Knechtel, Hani Saleh, Baker Mohammad, Mahmoud Al-Qutayri, Ozgur Sinanoglu

    Abstract: Logic locking aims to protect the intellectual property (IP) of integrated circuit (IC) designs throughout the globalized supply chain. The SAIL attack, based on tailored machine learning (ML) models, circumvents combinational logic locking with high accuracy and is amongst the most potent attacks as it does not require a functional IC acting as an oracle. In this work, we propose UNSAIL, a logic… ▽ More

    Submitted 9 February, 2021; v1 submitted 29 December, 2020; originally announced December 2020.

    Comments: IEEE Transactions on Information Forensics and Security (TIFS)

  21. arXiv:2012.05948  [pdf, other

    cs.CR

    GNNUnlock: Graph Neural Networks-based Oracle-less Unlocking Scheme for Provably Secure Logic Locking

    Authors: Lilas Alrahis, Satwik Patnaik, Faiq Khalid, Muhammad Abdullah Hanif, Hani Saleh, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: In this paper, we propose GNNUnlock, the first-of-its-kind oracle-less machine learning-based attack on provably secure logic locking that can identify any desired protection logic without focusing on a specific syntactic topology. The key is to leverage a well-trained graph neural network (GNN) to identify all the gates in a given locked netlist that belong to the targeted protection logic, witho… ▽ More

    Submitted 10 December, 2020; originally announced December 2020.

    Comments: 6 pages, 4 figures, 6 tables, conference

  22. arXiv:1909.04428  [pdf, other

    cs.CR

    ScanSAT: Unlocking Static and Dynamic Scan Obfuscation

    Authors: Lilas Alrahis, Muhammad Yasin, Nimisha Limaye, Hani Saleh, Baker Mohammad, Mahmoud Al-Qutayri, Ozgur Sinanoglu

    Abstract: While financially advantageous, outsourcing key steps, such as testing, to potentially untrusted Outsourced Assembly and Test (OSAT) companies may pose a risk of compromising on-chip assets. Obfuscation of scan chains is a technique that hides the actual scan data from the untrusted testers; logic inserted between the scan cells, driven by a secret key, hides the transformation functions that map… ▽ More

    Submitted 10 September, 2019; originally announced September 2019.

    Comments: 16 pages, 14 figures, IEEE Transactions on Emerging Topics in Computing