Skip to main content

Showing 1–50 of 73 results for author: Narayanan, V

  1. arXiv:2406.05602  [pdf, other

    cs.CV cs.CL

    Can Prompt Modifiers Control Bias? A Comparative Analysis of Text-to-Image Generative Models

    Authors: Philip Wootaek Shin, Jihyun Janice Ahn, Wenpeng Yin, Jack Sampson, Vijaykrishnan Narayanan

    Abstract: It has been shown that many generative models inherit and amplify societal biases. To date, there is no uniform/systematic agreed standard to control/adjust for these biases. This study examines the presence and manipulation of societal biases in leading text-to-image models: Stable Diffusion, DALL-E 3, and Adobe Firefly. Through a comprehensive analysis combining base prompts with modifiers and t… ▽ More

    Submitted 8 June, 2024; originally announced June 2024.

  2. arXiv:2404.19254  [pdf, other

    cs.CL cs.AI

    Suvach -- Generated Hindi QA benchmark

    Authors: Vaishak Narayanan, Prabin Raj KP, Saifudheen Nouphal

    Abstract: Current evaluation benchmarks for question answering (QA) in Indic languages often rely on machine translation of existing English datasets. This approach suffers from bias and inaccuracies inherent in machine translation, leading to datasets that may not reflect the true capabilities of EQA models for Indic languages. This paper proposes a new benchmark specifically designed for evaluating Hindi… ▽ More

    Submitted 30 April, 2024; originally announced April 2024.

  3. arXiv:2404.06352  [pdf, other

    cs.CV cs.RO

    DaF-BEVSeg: Distortion-aware Fisheye Camera based Bird's Eye View Segmentation with Occlusion Reasoning

    Authors: Senthil Yogamani, David Unger, Venkatraman Narayanan, Varun Ravi Kumar

    Abstract: Semantic segmentation is an effective way to perform scene understanding. Recently, segmentation in 3D Bird's Eye View (BEV) space has become popular as its directly used by drive policy. However, there is limited work on BEV segmentation for surround-view fisheye cameras, commonly used in commercial vehicles. As this task has no real-world public dataset and existing synthetic datasets do not han… ▽ More

    Submitted 9 April, 2024; originally announced April 2024.

  4. arXiv:2403.04981  [pdf, other

    cs.ET

    Paving the Way for Pass Disturb Free Vertical NAND Storage via A Dedicated and String-Compatible Pass Gate

    Authors: Zijian Zhao, Sola Woo, Khandker Akif Aabrar, Sharadindu Gopal Kirtania, Zhouhang Jiang, Shan Deng, Yi Xiao, Halid Mulaosmanovic, Stefan Duenkel, Dominik Kleimaier, Steven Soss, Sven Beyer, Rajiv Joshi, Scott Meninger, Mohamed Mohamed, Kijoon Kim, Jongho Woo, Suhwan Lim, Kwangsoo Kim, Wanki Kim, Daewon Ha, Vijaykrishnan Narayanan, Suman Datta, Shimeng Yu, Kai Ni

    Abstract: In this work, we propose a dual-port cell design to address the pass disturb in vertical NAND storage, which can pass signals through a dedicated and string-compatible pass gate. We demonstrate that: i) the pass disturb-free feature originates from weakening of the depolarization field by the pass bias at the high-${V}_{TH}$ (HVT) state and the screening of the applied field by channel at the low-… ▽ More

    Submitted 7 March, 2024; originally announced March 2024.

    Comments: 29 pages, 7 figures

  5. arXiv:2309.05680  [pdf, other

    cs.HC cs.AI cs.SE

    Evaluating Chatbots to Promote Users' Trust -- Practices and Open Problems

    Authors: Biplav Srivastava, Kausik Lakkaraju, Tarmo Koppel, Vignesh Narayanan, Ashish Kundu, Sachindra Joshi

    Abstract: Chatbots, the common moniker for collaborative assistants, are Artificial Intelligence (AI) software that enables people to naturally interact with them to get tasks done. Although chatbots have been studied since the dawn of AI, they have particularly caught the imagination of the public and businesses since the launch of easy-to-use and general-purpose Large Language Model-based chatbots like Ch… ▽ More

    Submitted 13 September, 2023; v1 submitted 9 September, 2023; originally announced September 2023.

  6. arXiv:2309.00997  [pdf, other

    cs.LG cs.DC cs.DS math.OC

    Switch and Conquer: Efficient Algorithms By Switching Stochastic Gradient Oracles For Decentralized Saddle Point Problems

    Authors: Chhavi Sharma, Vishnu Narayanan, P. Balamurugan

    Abstract: We consider a class of non-smooth strongly convex-strongly concave saddle point problems in a decentralized setting without a central server. To solve a consensus formulation of problems in this class, we develop an inexact primal dual hybrid gradient (inexact PDHG) procedure that allows generic gradient computation oracles to update the primal and dual variables. We first investigate the performa… ▽ More

    Submitted 13 September, 2023; v1 submitted 2 September, 2023; originally announced September 2023.

    Comments: arXiv admin note: substantial text overlap with arXiv:2205.14452

  7. arXiv:2308.15756  [pdf

    cs.ET cond-mat.str-el eess.SP physics.app-ph

    Reimagining Sense Amplifiers: Harnessing Phase Transition Materials for Current and Voltage Sensing

    Authors: Md Mazharul Islam, Shamiul Alam, Mohammad Adnan Jahangir, Garrett S. Rose, Suman Datta, Vijaykrishnan Narayanan, Sumeet Kumar Gupta, Ahmedullah Aziz

    Abstract: Energy-efficient sense amplifier (SA) circuits are essential for reliable detection of stored memory states in emerging memory systems. In this work, we present four novel sense amplifier (SA) topologies based on phase transition material (PTM) tailored for non-volatile memory applications. We utilize the abrupt switching and volatile hysteretic characteristics of PTMs which enables efficient and… ▽ More

    Submitted 30 August, 2023; originally announced August 2023.

  8. arXiv:2307.13549  [pdf, other

    cs.AI

    A Planning Ontology to Represent and Exploit Planning Knowledge for Performance Efficiency

    Authors: Bharath Muppasani, Vishal Pallagani, Biplav Srivastava, Raghava Mutharaju, Michael N. Huhns, Vignesh Narayanan

    Abstract: Ontologies are known for their ability to organize rich metadata, support the identification of novel insights via semantic queries, and promote reuse. In this paper, we consider the problem of automated planning, where the objective is to find a sequence of actions that will move an agent from an initial state of the world to a desired goal state. We hypothesize that given a large number of avail… ▽ More

    Submitted 8 July, 2024; v1 submitted 25 July, 2023; originally announced July 2023.

    Comments: Ontology, Automated Planning, Planner Improvement

  9. Using the IBM Analog In-Memory Hardware Acceleration Kit for Neural Network Training and Inference

    Authors: Manuel Le Gallo, Corey Lammie, Julian Buechel, Fabio Carta, Omobayode Fagbohungbe, Charles Mackin, Hsinyu Tsai, Vijay Narayanan, Abu Sebastian, Kaoutar El Maghraoui, Malte J. Rasch

    Abstract: Analog In-Memory Computing (AIMC) is a promising approach to reduce the latency and energy consumption of Deep Neural Network (DNN) inference and training. However, the noisy and non-linear device characteristics, and the non-ideal peripheral circuitry in AIMC chips, require adapting DNNs to be deployed on such hardware to achieve equivalent accuracy to digital computing. In this tutorial, we prov… ▽ More

    Submitted 26 January, 2024; v1 submitted 18 July, 2023; originally announced July 2023.

    Journal ref: APL Machine Learning (2023) 1 (4): 041102

  10. arXiv:2306.13865  [pdf, other

    cs.CL

    IERL: Interpretable Ensemble Representation Learning -- Combining CrowdSourced Knowledge and Distributed Semantic Representations

    Authors: Yuxin Zi, Kaushik Roy, Vignesh Narayanan, Manas Gaur, Amit Sheth

    Abstract: Large Language Models (LLMs) encode meanings of words in the form of distributed semantics. Distributed semantics capture common statistical patterns among language tokens (words, phrases, and sentences) from large amounts of data. LLMs perform exceedingly well across General Language Understanding Evaluation (GLUE) tasks designed to test a model's understanding of the meanings of the input tokens… ▽ More

    Submitted 24 June, 2023; originally announced June 2023.

    Comments: Accepted for publication at the KDD workshop on Knowledge-infused Machine Learning, 2023

  11. arXiv:2306.13501  [pdf, other

    cs.CL

    Knowledge-Infused Self Attention Transformers

    Authors: Kaushik Roy, Yuxin Zi, Vignesh Narayanan, Manas Gaur, Amit Sheth

    Abstract: Transformer-based language models have achieved impressive success in various natural language processing tasks due to their ability to capture complex dependencies and contextual information using self-attention mechanisms. However, they are not without limitations. These limitations include hallucinations, where they produce incorrect outputs with high confidence, and alignment issues, where the… ▽ More

    Submitted 23 June, 2023; originally announced June 2023.

    Comments: Accepted for publication at the Second Workshop on Knowledge Augmented Methods for NLP, colocated with KDD 2023

  12. arXiv:2306.09824  [pdf, other

    cs.CL cs.AI

    Process Knowledge-infused Learning for Clinician-friendly Explanations

    Authors: Kaushik Roy, Yuxin Zi, Manas Gaur, Jinendra Malekar, Qi Zhang, Vignesh Narayanan, Amit Sheth

    Abstract: Language models have the potential to assess mental health using social media data. By analyzing online posts and conversations, these models can detect patterns indicating mental health conditions like depression, anxiety, or suicidal thoughts. They examine keywords, language markers, and sentiment to gain insights into an individual's mental well-being. This information is crucial for early dete… ▽ More

    Submitted 16 June, 2023; originally announced June 2023.

    Comments: Accepted for Publication at AAAI Second Symposium on Human Partnership with Medical Artificial Intelligence (HUMAN.AI Summer 2023): Design, Operationalization, and Ethics. July 17-19, 2023

  13. arXiv:2306.01863  [pdf, other

    cs.ET

    Embedding Security into Ferroelectric FET Array via In-Situ Memory Operation

    Authors: Yixin Xu, Yi Xiao, Zijian Zhao, Franz Müller, Alptekin Vardar, Xiao Gong, Sumitha George, Thomas Kämpfe, Vijaykrishnan Narayanan, Kai Ni

    Abstract: Non-volatile memories (NVMs) have the potential to reshape next-generation memory systems because of their promising properties of near-zero leakage power consumption, high density and non-volatility. However, NVMs also face critical security threats that exploit the non-volatile property. Compared to volatile memory, the capability of retaining data even after power down makes NVM more vulnerable… ▽ More

    Submitted 2 June, 2023; originally announced June 2023.

  14. arXiv:2306.01805  [pdf, other

    cs.CL cs.AI cs.IR

    Cook-Gen: Robust Generative Modeling of Cooking Actions from Recipes

    Authors: Revathy Venkataramanan, Kaushik Roy, Kanak Raj, Renjith Prasad, Yuxin Zi, Vignesh Narayanan, Amit Sheth

    Abstract: As people become more aware of their food choices, food computation models have become increasingly popular in assisting people in maintaining healthy eating habits. For example, food recommendation systems analyze recipe instructions to assess nutritional contents and provide recipe recommendations. The recent and remarkable successes of generative AI methods, such as auto-regressive large langua… ▽ More

    Submitted 1 June, 2023; originally announced June 2023.

  15. Gradient descent-based programming of analog in-memory computing cores

    Authors: Julian Büchel, Athanasios Vasilopoulos, Benedikt Kersting, Frederic Odermatt, Kevin Brew, Injo Ok, Sam Choi, Iqbal Saraf, Victor Chan, Timothy Philip, Nicole Saulnier, Vijay Narayanan, Manuel Le Gallo, Abu Sebastian

    Abstract: The precise programming of crossbar arrays of unit-cells is crucial for obtaining high matrix-vector-multiplication (MVM) accuracy in analog in-memory computing (AIMC) cores. We propose a radically different approach based on directly minimizing the MVM error using gradient descent with synthetic random input data. Our method significantly reduces the MVM error compared with conventional unit-cell… ▽ More

    Submitted 26 May, 2023; originally announced May 2023.

    Journal ref: 2022 International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2022, pp. 33.1.1-33.1.4

  16. arXiv:2305.10459  [pdf, other

    cs.AR cs.CV cs.LG

    AnalogNAS: A Neural Network Design Framework for Accurate Inference with Analog In-Memory Computing

    Authors: Hadjer Benmeziane, Corey Lammie, Irem Boybat, Malte Rasch, Manuel Le Gallo, Hsinyu Tsai, Ramachandran Muralidhar, Smail Niar, Ouarnoughi Hamza, Vijay Narayanan, Abu Sebastian, Kaoutar El Maghraoui

    Abstract: The advancement of Deep Learning (DL) is driven by efficient Deep Neural Network (DNN) design and new hardware accelerators. Current DNN design is primarily tailored for general-purpose use and deployment on commercially viable platforms. Inference at the edge requires low latency, compact and power-efficient models, and must be cost-effective. Digital processors based on typical von Neumann archi… ▽ More

    Submitted 17 May, 2023; originally announced May 2023.

    Comments: Accepted to IEEE Edge

  17. DRackSim: Simulator for Rack-scale Memory Disaggregation

    Authors: Amit Puri, John Jose, Tamarapalli Venkatesh, Vijaykrishnan Narayanan

    Abstract: Memory disaggregation has emerged as an alternative to traditional server architecture in data centers. This paper introduces DRackSim, a simulation infrastructure to model rack-scale hardware disaggregated memory. DRackSim models multiple compute nodes, memory pools, and a rack-scale interconnect similar to GenZ. An application-level simulation approach simulates an x86 out-of-order multi-core pr… ▽ More

    Submitted 19 September, 2023; v1 submitted 17 May, 2023; originally announced May 2023.

  18. arXiv:2305.04989  [pdf, other

    cs.CL cs.AI

    Knowledge Graph Guided Semantic Evaluation of Language Models For User Trust

    Authors: Kaushik Roy, Tarun Garg, Vedant Palit, Yuxin Zi, Vignesh Narayanan, Amit Sheth

    Abstract: A fundamental question in natural language processing is - what kind of language structure and semantics is the language model capturing? Graph formats such as knowledge graphs are easy to evaluate as they explicitly express language semantics and structure. This study evaluates the semantics encoded in the self-attention transformers by leveraging explicit knowledge graph structures. We propose n… ▽ More

    Submitted 8 May, 2023; originally announced May 2023.

  19. arXiv:2305.01484  [pdf, other

    cs.ET

    Powering Disturb-Free Reconfigurable Computing and Tunable Analog Electronics with Dual-Port Ferroelectric FET

    Authors: Zijian Zhao, Shan Deng, Swetaki Chatterjee, Zhouhang Jiang, Muhammad Shaffatul Islam, Yi Xiao, Yixin Xu, Scott Meninger, Mohamed Mohamed, Rajiv Joshi, Yogesh Singh Chauhan, Halid Mulaosmanovic, Stefan Duenkel, Dominik Kleimaier, Sven Beyer, Hussam Amrouch, Vijaykrishnan Narayanan, Kai Ni

    Abstract: Single-port ferroelectric FET (FeFET) that performs write and read operations on the same electrical gate prevents its wide application in tunable analog electronics and suffers from read disturb, especially to the high-threshold voltage (VTH) state as the retention energy barrier is reduced by the applied read bias. To address both issues, we propose to adopt a read disturb-free dual-port FeFET w… ▽ More

    Submitted 2 May, 2023; originally announced May 2023.

    Comments: 32 pages

  20. arXiv:2304.14934  [pdf, ps, other

    cs.IT cs.CR

    Randomness Requirements for Three-Secret Sharing

    Authors: Hari Krishnan P. Anilkumar, Aayush Rajesh, Varun Narayanan, Manoj M. Prabhakaran, Vinod M. Prabhakaran

    Abstract: We study a secret sharing problem with three secrets where the secrets are allowed to be related to each other, i.e., only certain combinations of the three secrets are permitted. The dealer produces three shares such that every pair of shares reveals a unique secret and reveals nothing about the other two secrets, other than what can be inferred from the revealed secret. For the case of binary se… ▽ More

    Submitted 28 April, 2023; originally announced April 2023.

    Comments: Accepted in International Symposium on Information Theory 2023

  21. Remote attestation of SEV-SNP confidential VMs using e-vTPMs

    Authors: Vikram Narayanan, Claudio Carvalho, Angelo Ruocco, Gheorghe Almási, James Bottomley, Mengmei Ye, Tobin Feldman-Fitzthum, Daniele Buono, Hubertus Franke, Anton Burtsev

    Abstract: Trying to address the security challenges of a cloud-centric software deployment paradigm, silicon and cloud vendors are introducing confidential computing - an umbrella term aimed at providing hardware and software mechanisms for protecting cloud workloads from the cloud provider and its software stack. Today, Intel SGX, AMD SEV, Intel TDX, etc., provide a way to shield cloud applications from th… ▽ More

    Submitted 25 June, 2023; v1 submitted 29 March, 2023; originally announced March 2023.

    Comments: 12 pages, 4 figures

    Journal ref: In Proceedings of the 39th Annual Computer Security Applications Conference (ACSAC 2023). 732-743

  22. arXiv:2303.02762  [pdf, other

    cs.AR

    Reverse Engineering Word-Level Models from Look-Up Table Netlists

    Authors: Ram Venkat Narayanan, Aparajithan Nathamuni Venkatesan, Kishore Pula, Sundarakumar Muthukumaran, Ranga Vemuri

    Abstract: Reverse engineering of FPGA designs from bitstreams to RTL models aids in understanding the high level functionality of the design and for validating and reconstructing legacy designs. Fast carry-chains are commonly used in synthesis of operators in FPGA designs. We propose a method to detect word-level structures by analyzing these carry-chains in LUT (Look-Up Table) level netlists. We also prese… ▽ More

    Submitted 5 March, 2023; originally announced March 2023.

    Comments: 8 pages, 6 figures, Accepted to appear in ISQED 2023 conference

  23. arXiv:2303.02203  [pdf, other

    cs.CV cs.RO

    X$^3$KD: Knowledge Distillation Across Modalities, Tasks and Stages for Multi-Camera 3D Object Detection

    Authors: Marvin Klingner, Shubhankar Borse, Varun Ravi Kumar, Behnaz Rezaei, Venkatraman Narayanan, Senthil Yogamani, Fatih Porikli

    Abstract: Recent advances in 3D object detection (3DOD) have obtained remarkably strong results for LiDAR-based models. In contrast, surround-view 3DOD models based on multiple camera images underperform due to the necessary view transformation of features from perspective view (PV) to a 3D world representation which is ambiguous due to missing depth information. This paper introduces X$^3$KD, a comprehensi… ▽ More

    Submitted 3 March, 2023; originally announced March 2023.

    Comments: Accepted to CVPR 2023

  24. arXiv:2302.08469  [pdf, ps, other

    cs.LG cs.ET

    Hardware-aware training for large-scale and diverse deep learning inference workloads using in-memory computing-based accelerators

    Authors: Malte J. Rasch, Charles Mackin, Manuel Le Gallo, An Chen, Andrea Fasoli, Frederic Odermatt, Ning Li, S. R. Nandakumar, Pritish Narayanan, Hsinyu Tsai, Geoffrey W. Burr, Abu Sebastian, Vijay Narayanan

    Abstract: Analog in-memory computing (AIMC) -- a promising approach for energy-efficient acceleration of deep learning workloads -- computes matrix-vector multiplications (MVMs) but only approximately, due to nonidealities that often are non-deterministic or nonlinear. This can adversely impact the achievable deep neural network (DNN) inference accuracy as compared to a conventional floating point (FP) impl… ▽ More

    Submitted 16 February, 2023; originally announced February 2023.

    Comments: 35 pages, 7 figures, 5 tables

  25. arXiv:2302.07478  [pdf, other

    cs.AR

    ASMCap: An Approximate String Matching Accelerator for Genome Sequence Analysis Based on Capacitive Content Addressable Memory

    Authors: Hongtao Zhong, Zhonghao Chen, Wenqin Huangfu, Chen Wang, Yixin Xu, Tianyi Wang, Yao Yu, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li

    Abstract: Genome sequence analysis is a powerful tool in medical and scientific research. Considering the inevitable sequencing errors and genetic variations, approximate string matching (ASM) has been adopted in practice for genome sequencing. However, with exponentially increasing bio-data, ASM hardware acceleration is facing severe challenges in improving the throughput and energy efficiency with the acc… ▽ More

    Submitted 15 February, 2023; originally announced February 2023.

    Comments: Accepted by Design Automation Conference (DAC) 2023

  26. arXiv:2212.11219  [pdf, other

    cs.HC cs.CL cs.CY

    On Safe and Usable Chatbots for Promoting Voter Participation

    Authors: Bharath Muppasani, Vishal Pallagani, Kausik Lakkaraju, Shuge Lei, Biplav Srivastava, Brett Robertson, Andrea Hickerson, Vignesh Narayanan

    Abstract: Chatbots, or bots for short, are multi-modal collaborative assistants that can help people complete useful tasks. Usually, when chatbots are referenced in connection with elections, they often draw negative reactions due to the fear of mis-information and hacking. Instead, in this paper, we explore how chatbots may be used to promote voter participation in vulnerable segments of society like senio… ▽ More

    Submitted 28 December, 2022; v1 submitted 16 December, 2022; originally announced December 2022.

    Comments: 7 pages, In AAAI 2023 Workshop on AI for Credible Elections

  27. arXiv:2212.08202  [pdf

    physics.app-ph cs.ET

    Voltage-controlled Cryogenic Boolean Logic Family Based on Ferroelectric SQUID

    Authors: Shamiul Alam, Md Shafayat Hossain, Kai Ni, Vijaykrishnan Narayanan, Ahmedullah Aziz

    Abstract: The recent progress in quantum computing and space exploration led to a surge in interest in cryogenic electronics. Superconducting devices such as Josephson junction, Josephson field effect transistor, cryotron, and superconducting quantum interference device (SQUID) are traditionally used to build cryogenic logic gates. However, due to the superconducting nature, gate-voltage-based control of th… ▽ More

    Submitted 15 December, 2022; originally announced December 2022.

    Comments: 11 pages, 4 figures

  28. arXiv:2212.04973  [pdf

    cs.ET

    Eliminating Leakage in Volatile Memory with Anti-Ferroelectric Transistors

    Authors: Hongtao Zhong, Zijie Zheng, Leming Jiao, Zuopu Zhou, Chen Sun, Xiaoyang Ma, Vijaykrishnan Narayanan, Huazhong Yang, Kai Ni, Xiao Gong, Xueqing Li

    Abstract: Cache serves as a temporary data memory module in many general-purpose processors and domain-specific accelerators. Its density, power, speed, and reliability play a critical role in enhancing the overall system performance and quality of service. Conventional volatile memories, including static random-access memory (SRAM) and embedded dynamic random-access memory (eDRAM) in the complementary meta… ▽ More

    Submitted 1 February, 2023; v1 submitted 9 December, 2022; originally announced December 2022.

  29. A 64-core mixed-signal in-memory compute chip based on phase-change memory for deep neural network inference

    Authors: Manuel Le Gallo, Riduan Khaddam-Aljameh, Milos Stanisavljevic, Athanasios Vasilopoulos, Benedikt Kersting, Martino Dazzi, Geethan Karunaratne, Matthias Braendli, Abhairaj Singh, Silvia M. Mueller, Julian Buechel, Xavier Timoneda, Vinay Joshi, Urs Egger, Angelo Garofalo, Anastasios Petropoulos, Theodore Antonakopoulos, Kevin Brew, Samuel Choi, Injo Ok, Timothy Philip, Victor Chan, Claire Silvestre, Ishtiaq Ahsan, Nicole Saulnier , et al. (4 additional authors not shown)

    Abstract: The need to repeatedly shuttle around synaptic weight values from memory to processing units has been a key source of energy inefficiency associated with hardware implementation of artificial neural networks. Analog in-memory computing (AIMC) with spatially instantiated synaptic weights holds high promise to overcome this challenge, by performing matrix-vector multiplications (MVMs) directly withi… ▽ More

    Submitted 6 December, 2022; originally announced December 2022.

    Journal ref: Nature Electronics 6, 680-693 (2023)

  30. arXiv:2212.00089  [pdf, other

    cs.AR cs.ET

    Ferroelectric FET based Context-Switching FPGA Enabling Dynamic Reconfiguration for Adaptive Deep Learning Machines

    Authors: Yixin Xu, Zijian Zhao, Yi Xiao, Tongguang Yu, Halid Mulaosmanovic, Dominik Kleimaier, Stefan Duenkel, Sven Beyer, Xiao Gong, Rajiv Joshi, X. Sharon Hu, Shixian Wen, Amanda Sofie Rios, Kiran Lekkala, Laurent Itti, Eric Homan, Sumitha George, Vijaykrishnan Narayanan, Kai Ni

    Abstract: Field Programmable Gate Array (FPGA) is widely used in acceleration of deep learning applications because of its reconfigurability, flexibility, and fast time-to-market. However, conventional FPGA suffers from the tradeoff between chip area and reconfiguration latency, making efficient FPGA accelerations that require switching between multiple configurations still elusive. In this paper, we perfor… ▽ More

    Submitted 30 November, 2022; originally announced December 2022.

    Comments: 54 pages, 15 figures

  31. arXiv:2210.04307  [pdf, other

    cs.CL cs.AI

    KSAT: Knowledge-infused Self Attention Transformer -- Integrating Multiple Domain-Specific Contexts

    Authors: Kaushik Roy, Yuxin Zi, Vignesh Narayanan, Manas Gaur, Amit Sheth

    Abstract: Domain-specific language understanding requires integrating multiple pieces of relevant contextual information. For example, we see both suicide and depression-related behavior (multiple contexts) in the text ``I have a gun and feel pretty bad about my life, and it wouldn't be the worst thing if I didn't wake up tomorrow''. Domain specificity in self-attention architectures is handled by fine-tuni… ▽ More

    Submitted 24 June, 2023; v1 submitted 9 October, 2022; originally announced October 2022.

    Comments: Preprint version of paper accepted for publication at KDD workshop on Knowledge Augmented Methods for NLP, 2023

  32. arXiv:2208.14678  [pdf

    cs.ET

    Ferroelectric FET-based strong physical unclonable function: a low-power, high-reliable and reconfigurable solution for Internet-of-Things security

    Authors: Xinrui Guo, Xiaoyang Ma, Franz Muller, Kai Ni, Thomas Kampfe, Yongpan Liu, Vijaykrishnan Narayanan, Xueqing Li

    Abstract: Hardware security has been a key concern in modern information technologies. Especially, as the number of Internet-of-Things (IoT) devices grows rapidly, to protect the device security with low-cost security primitives becomes essential, among which Physical Unclonable Function (PUF) is a widely-used solution. In this paper, we propose the first FeFET-based strong PUF exploiting the cycle-to-cycle… ▽ More

    Submitted 31 August, 2022; originally announced August 2022.

  33. arXiv:2208.08600  [pdf

    cs.AR

    GRAPHIC: GatheR-And-Process in Highly parallel with In-SSD Compression Architecture in Very Large-Scale Graph

    Authors: Yiming Chen, Guohao Dai, Mufeng Zhou, Mingyen Lee, Nagadastagiri Challapalle, Guodong Yin, Zekun Yang, Yongpan Liu, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li

    Abstract: Graph convolutional network (GCN), an emerging algorithm for graph computing, has achieved promising performance in graphstructure tasks. To achieve acceleration for data-intensive and sparse graph computing, ASICs such as GCNAX have been proposed for efficient execution of aggregation and combination in GCN. GCNAX reducing 8x DRAM accesses compared with previous efforts. However, as graphs have r… ▽ More

    Submitted 17 August, 2022; originally announced August 2022.

    Comments: 9 pages, 16 figures

  34. arXiv:2207.13339  [pdf, other

    cs.CV

    ALBench: A Framework for Evaluating Active Learning in Object Detection

    Authors: Zhanpeng Feng, Shiliang Zhang, Rinyoichi Takezoe, Wenze Hu, Manmohan Chandraker, Li-Jia Li, Vijay K. Narayanan, Xiaoyu Wang

    Abstract: Active learning is an important technology for automated machine learning systems. In contrast to Neural Architecture Search (NAS) which aims at automating neural network architecture design, active learning aims at automating training data selection. It is especially critical for training a long-tailed task, in which positive samples are sparsely distributed. Active learning alleviates the expens… ▽ More

    Submitted 24 November, 2022; v1 submitted 27 July, 2022; originally announced July 2022.

  35. arXiv:2207.06810  [pdf, other

    cs.LG

    In-memory Realization of In-situ Few-shot Continual Learning with a Dynamically Evolving Explicit Memory

    Authors: Geethan Karunaratne, Michael Hersche, Jovin Langenegger, Giovanni Cherubini, Manuel Le Gallo-Bourdeau, Urs Egger, Kevin Brew, Sam Choi, INJO OK, Mary Claire Silvestre, Ning Li, Nicole Saulnier, Victor Chan, Ishtiaq Ahsan, Vijay Narayanan, Luca Benini, Abu Sebastian, Abbas Rahimi

    Abstract: Continually learning new classes from a few training examples without forgetting previous old classes demands a flexible architecture with an inevitably growing portion of storage, in which new examples and classes can be incrementally stored and efficiently retrieved. One viable architectural solution is to tightly couple a stationary deep neural network to a dynamically evolving explicit memory… ▽ More

    Submitted 14 July, 2022; originally announced July 2022.

    Comments: Accepted at the European Solid-state Devices and Circuits Conference (ESSDERC), September 2022

  36. ALL-MASK: A Reconfigurable Logic Locking Method for Multicore Architecture with Sequential-Instruction-Oriented Key

    Authors: Jianfeng Wang, Zhonghao Chen, Jiahao Zhang, Yixin Xu, Tongguang Yu, Enze Ye, Ziheng Zheng, Huazhong Yang, Sumitha George, Yongpan Liu, Vijaykrishnan Narayanan, Xueqing Li

    Abstract: Intellectual property (IP) piracy has become a non-negligible problem as the integrated circuit (IC) production supply chain is becoming increasingly globalized and separated that enables attacks by potentially untrusted attackers. Logic locking is a widely adopted method to lock the circuit module with a key and prevent hackers from cracking it. The key is the critical aspect of logic locking, bu… ▽ More

    Submitted 16 June, 2022; originally announced June 2022.

    Comments: 15 pages, 17 figures

    ACM Class: B.2.3; B.6.2; B.7.3

    Journal ref: ACM Transactions on Design Automation of Electronic Systems 2024

  37. arXiv:2205.14729  [pdf

    physics.app-ph cs.ET

    CMOS-Compatible Ising Machines built using Bistable Latches Coupled through Ferroelectric Transistor Arrays

    Authors: Antik Mallick, Zijian Zhao, Mohammad Khairul Bashar, Shamiul Alam, Md Mazharul Islam, Yi Xiao, Yixin Xu, Ahmedullah Aziz, Vijaykrishnan Narayanan, Kai Ni, Nikhil Shukla

    Abstract: Realizing compact and scalable Ising machines that are compatible with CMOS-process technology is crucial to the effectiveness and practicality of using such hardware platforms for accelerating computationally intractable problems. Besides the need for realizing compact Ising spins, the implementation of the coupling network, which describes the spin interaction, is also a potential bottleneck in… ▽ More

    Submitted 29 May, 2022; originally announced May 2022.

    Comments: 29 pages, 10 figures

  38. arXiv:2205.14452  [pdf, other

    cs.LG cs.DC cs.DS math.OC

    Stochastic Gradient Methods with Compressed Communication for Decentralized Saddle Point Problems

    Authors: Chhavi Sharma, Vishnu Narayanan, P. Balamurugan

    Abstract: We develop two compression based stochastic gradient algorithms to solve a class of non-smooth strongly convex-strongly concave saddle-point problems in a decentralized setting (without a central server). Our first algorithm is a Restart-based Decentralized Proximal Stochastic Gradient method with Compression (C-RDPSG) for general stochastic settings. We provide rigorous theoretical guarantees of… ▽ More

    Submitted 14 April, 2023; v1 submitted 28 May, 2022; originally announced May 2022.

  39. Learning to Automate Follow-up Question Generation using Process Knowledge for Depression Triage on Reddit Posts

    Authors: Shrey Gupta, Anmol Agarwal, Manas Gaur, Kaushik Roy, Vignesh Narayanan, Ponnurangam Kumaraguru, Amit Sheth

    Abstract: Conversational Agents (CAs) powered with deep language models (DLMs) have shown tremendous promise in the domain of mental health. Prominently, the CAs have been used to provide informational or therapeutic services to patients. However, the utility of CAs to assist in mental health triaging has not been explored in the existing work as it requires a controlled generation of follow-up questions (F… ▽ More

    Submitted 27 May, 2022; originally announced May 2022.

  40. FAST: A Fully-Concurrent Access Technique to All SRAM Rows for Enhanced Speed and Energy Efficiency in Data-Intensive Applications

    Authors: Yiming Chen, Yushen Fu, Mingyen Lee, Sumitha George, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li

    Abstract: Compute-in-memory (CiM) is a promising approach to improving the computing speed and energy efficiency in dataintensive applications. Beyond existing CiM techniques of bitwise logic-in-memory operations and dot product operations, this paper extends the CiM paradigm with FAST, a new shift-based inmemory computation technique to handle high-concurrency operations on multiple rows in an SRAM. Such h… ▽ More

    Submitted 16 September, 2022; v1 submitted 23 May, 2022; originally announced May 2022.

    Comments: 5 pages

  41. arXiv:2205.06073  [pdf, ps, other

    cs.IT cs.CR cs.DC

    Consensus Capacity of Noisy Broadcast Channels

    Authors: Neha Sangwan, Varun Narayanan, Vinod M. Prabhakaran

    Abstract: We study communication with consensus over a broadcast channel - the receivers reliably decode the sender's message when the sender is honest, and their decoder outputs agree even if the sender acts maliciously. We characterize the broadcast channels which permit this byzantine consensus and determine their capacity.

    Submitted 16 June, 2022; v1 submitted 12 May, 2022; originally announced May 2022.

  42. arXiv:2204.13106  [pdf, other

    eess.SP cs.NI

    Seeker: Synergizing Mobile and Energy Harvesting Wearable Sensors for Human Activity Recognition

    Authors: Cyan Subhra Mishra, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan

    Abstract: There is an increasing demand for intelligent processing on emerging ultra-low-power internet of things (IoT) devices, and recent works have shown substantial efficiency boosts by executing inference tasks directly on the IoT device (node) rather than merely transmitting sensor data. However, the computation and power demands of Deep Neural Network (DNN)-based inference pose significant challenges… ▽ More

    Submitted 25 March, 2022; originally announced April 2022.

  43. arXiv:2204.06652  [pdf, other

    cs.LG

    Joint Coreset Construction and Quantization for Distributed Machine Learning

    Authors: Hanlin Lu, Changchang Liu, Shiqiang Wang, Ting He, Vijay Narayanan, Kevin S. Chan, Stephen Pasteris

    Abstract: Coresets are small, weighted summaries of larger datasets, aiming at providing provable error bounds for machine learning (ML) tasks while significantly reducing the communication and computation costs. To achieve a better trade-off between ML error bounds and costs, we propose the first framework to incorporate quantization techniques into the process of coreset construction. Specifically, we the… ▽ More

    Submitted 13 April, 2022; originally announced April 2022.

  44. arXiv:2112.06891  [pdf, ps, other

    cs.LG cs.NE eess.SY

    Interpretable Design of Reservoir Computing Networks using Realization Theory

    Authors: Wei Miao, Vignesh Narayanan, Jr-Shin Li

    Abstract: The reservoir computing networks (RCNs) have been successfully employed as a tool in learning and complex decision-making tasks. Despite their efficiency and low training cost, practical applications of RCNs rely heavily on empirical design. In this paper, we develop an algorithm to design RCNs using the realization theory of linear dynamical systems. In particular, we introduce the notion of $α$-… ▽ More

    Submitted 13 December, 2021; originally announced December 2021.

  45. arXiv:2110.15305  [pdf, other

    eess.SY cs.AI cs.LG

    Cooperative Deep $Q$-learning Framework for Environments Providing Image Feedback

    Authors: Krishnan Raghavan, Vignesh Narayanan, Jagannathan Sarangapani

    Abstract: In this paper, we address two key challenges in deep reinforcement learning setting, sample inefficiency and slow learning, with a dual NN-driven learning approach. In the proposed approach, we use two deep NNs with independent initialization to robustly approximate the action-value function in the presence of image inputs. In particular, we develop a temporal difference (TD) error-driven learning… ▽ More

    Submitted 28 October, 2021; originally announced October 2021.

  46. arXiv:2110.15290  [pdf, other

    cs.LG cs.AI eess.SY

    Learning to Control using Image Feedback

    Authors: Krishnan Raghavan, Vignesh Narayanan, Jagannathan Saraangapani

    Abstract: Learning to control complex systems using non-traditional feedback, e.g., in the form of snapshot images, is an important task encountered in diverse domains such as robotics, neuroscience, and biology (cellular systems). In this paper, we present a two neural-network (NN)-based feedback control framework to design control policies for systems that generate feedback in the form of images. In parti… ▽ More

    Submitted 28 October, 2021; originally announced October 2021.

  47. Hardware Functional Obfuscation With Ferroelectric Active Interconnects

    Authors: Tonggunag Yu, Yixin Xu, Shan Deng, Zijian Zhao, Nicolas Jao, You Sung Kim, Stefan Duenkel, Sven Beyer, Kai Ni, Sumitha George, Vijaykrishnan Narayanan

    Abstract: Camouflaging gate techniques are typically used in hardware security to prevent reverse engineering. Layout level camouflaging by adding dummy contacts ensures some level of protection against extracting the correct netlist. Threshold voltage manipulation for multi-functional logic with identical layouts has also been introduced for functional obfuscation. All these techniques are implemented at t… ▽ More

    Submitted 25 April, 2022; v1 submitted 7 October, 2021; originally announced October 2021.

    Journal ref: Nat Commun 13, 2235 (2022)

  48. arXiv:2109.09897  [pdf

    cs.ET physics.app-ph

    An Oscillator-based MaxSAT solver

    Authors: Mohammad Khairul Bashar, Jaykumar Vaidya, Antik Mallick, R S Surya Kanthi, Shamiul Alam, Nazmul Amin, Chonghan Lee, Feng Shi, Ahmedullah Aziz, Vijaykrishnan Narayanan, Nikhil Shukla

    Abstract: The quest to solve hard combinatorial optimization problems efficiently -- still a longstanding challenge for traditional digital computers -- has inspired the exploration of many alternate computing models and platforms. As a case in point, oscillator networks offer a potentially promising energy efficient and scalable option. However, prior oscillator-based combinatorial optimization solvers hav… ▽ More

    Submitted 20 September, 2021; originally announced September 2021.

  49. arXiv:2109.07710  [pdf, other

    cs.LG cs.CV

    Exploiting Activation based Gradient Output Sparsity to Accelerate Backpropagation in CNNs

    Authors: Anup Sarma, Sonali Singh, Huaipan Jiang, Ashutosh Pattnaik, Asit K Mishra, Vijaykrishnan Narayanan, Mahmut T Kandemir, Chita R Das

    Abstract: Machine/deep-learning (ML/DL) based techniques are emerging as a driving force behind many cutting-edge technologies, achieving high accuracy on computer vision workloads such as image classification and object detection. However, training these models involving large parameters is both time-consuming and energy-hogging. In this regard, several prior works have advocated for sparsity to speed up t… ▽ More

    Submitted 16 September, 2021; originally announced September 2021.

  50. arXiv:2107.07116  [pdf, other

    cs.NE cs.AI cs.LG

    Transformer-based Machine Learning for Fast SAT Solvers and Logic Synthesis

    Authors: Feng Shi, Chonghan Lee, Mohammad Khairul Bashar, Nikhil Shukla, Song-Chun Zhu, Vijaykrishnan Narayanan

    Abstract: CNF-based SAT and MaxSAT solvers are central to logic synthesis and verification systems. The increasing popularity of these constraint problems in electronic design automation encourages studies on different SAT problems and their properties for further computational efficiency. There has been both theoretical and practical success of modern Conflict-driven clause learning SAT solvers, which allo… ▽ More

    Submitted 15 July, 2021; originally announced July 2021.