Skip to main content

Showing 1–50 of 53 results for author: Patnaik, S

  1. arXiv:2404.17912  [pdf, other

    cs.CL cs.AI cs.LG

    SERPENT-VLM : Self-Refining Radiology Report Generation Using Vision Language Models

    Authors: Manav Nitin Kapadnis, Sohan Patnaik, Abhilash Nandy, Sourjyadip Ray, Pawan Goyal, Debdoot Sheet

    Abstract: Radiology Report Generation (R2Gen) demonstrates how Multi-modal Large Language Models (MLLMs) can automate the creation of accurate and coherent radiological reports. Existing methods often hallucinate details in text-based reports that don't accurately reflect the image content. To mitigate this, we introduce a novel strategy, SERPENT-VLM (SElf Refining Radiology RePort GENeraTion using Vision L… ▽ More

    Submitted 27 April, 2024; originally announced April 2024.

    Comments: 8 pages, 3 figures, 4 tables, Accepted as oral at Clinical NLP workshop at NAACL 2024

  2. arXiv:2402.13946  [pdf, other

    cs.LG cs.CR

    AttackGNN: Red-Teaming GNNs in Hardware Security Using Reinforcement Learning

    Authors: Vasudev Gohil, Satwik Patnaik, Dileep Kalathil, Jeyavijayan Rajendran

    Abstract: Machine learning has shown great promise in addressing several critical hardware security problems. In particular, researchers have developed novel graph neural network (GNN)-based techniques for detecting intellectual property (IP) piracy, detecting hardware Trojans (HTs), and reverse engineering circuits, to name a few. These techniques have demonstrated outstanding accuracy and have received mu… ▽ More

    Submitted 26 February, 2024; v1 submitted 21 February, 2024; originally announced February 2024.

    Comments: To appear in USENIX Security Symposium, 2024

  3. arXiv:2402.01155  [pdf, other

    cs.CL

    CABINET: Content Relevance based Noise Reduction for Table Question Answering

    Authors: Sohan Patnaik, Heril Changwal, Milan Aggarwal, Sumit Bhatia, Yaman Kumar, Balaji Krishnamurthy

    Abstract: Table understanding capability of Large Language Models (LLMs) has been extensively studied through the task of question-answering (QA) over tables. Typically, only a small part of the whole table is relevant to derive the answer for a given question. The irrelevant parts act as noise and are distracting information, resulting in sub-optimal performance due to the vulnerability of LLMs to noise. T… ▽ More

    Submitted 13 February, 2024; v1 submitted 2 February, 2024; originally announced February 2024.

    Comments: Accepted at ICLR 2024 (spotlight)

  4. arXiv:2311.11753  [pdf, other

    cs.CV

    AdvGen: Physical Adversarial Attack on Face Presentation Attack Detection Systems

    Authors: Sai Amrit Patnaik, Shivali Chansoriya, Anil K. Jain, Anoop M. Namboodiri

    Abstract: Evaluating the risk level of adversarial images is essential for safely deploying face authentication models in the real world. Popular approaches for physical-world attacks, such as print or replay attacks, suffer from some limitations, like including physical and geometrical artifacts. Recently, adversarial attacks have gained attraction, which try to digitally deceive the learning strategy of a… ▽ More

    Submitted 20 November, 2023; originally announced November 2023.

    Comments: 10 pages, 9 figures, Accepted to the International Joint Conference on Biometrics (IJCB 2023)

  5. arXiv:2309.13655  [pdf, other

    cs.CV eess.IV

    Adaptation of the super resolution SOTA for Art Restoration in camera capture images

    Authors: Sandeep Nagar, Abhinaba Bala, Sai Amrit Patnaik

    Abstract: Preserving cultural heritage is of paramount importance. In the domain of art restoration, developing a computer vision model capable of effectively restoring deteriorated images of art pieces was difficult, but now we have a good computer vision state-of-art. Traditional restoration methods are often time-consuming and require extensive expertise. The aim of this work is to design an automated so… ▽ More

    Submitted 28 September, 2023; v1 submitted 24 September, 2023; originally announced September 2023.

    Comments: COMPETITIONS @ ICETCI 2023

  6. arXiv:2307.05700  [pdf, other

    cs.CV eess.IV

    SepHRNet: Generating High-Resolution Crop Maps from Remote Sensing imagery using HRNet with Separable Convolution

    Authors: Priyanka Goyal, Sohan Patnaik, Adway Mitra, Manjira Sinha

    Abstract: The accurate mapping of crop production is crucial for ensuring food security, effective resource management, and sustainable agricultural practices. One way to achieve this is by analyzing high-resolution satellite imagery. Deep Learning has been successful in analyzing images, including remote sensing imagery. However, capturing intricate crop patterns is challenging due to their complexity and… ▽ More

    Submitted 11 July, 2023; originally announced July 2023.

  7. arXiv:2307.02569  [pdf, other

    cs.CR

    Securing Cloud FPGAs Against Power Side-Channel Attacks: A Case Study on Iterative AES

    Authors: Nithyashankari Gummidipoondi Jayasankaran, Hao Guo, Satwik Patnaik, Jeyavijayan, Rajendran, Jiang Hu

    Abstract: The various benefits of multi-tenanting, such as higher device utilization and increased profit margin, intrigue the cloud field-programmable gate array (FPGA) servers to include multi-tenanting in their infrastructure. However, this property makes these servers vulnerable to power side-channel (PSC) attacks. Logic designs such as ring oscillator (RO) and time-to-digital converter (TDC) are used t… ▽ More

    Submitted 5 July, 2023; originally announced July 2023.

  8. arXiv:2306.06190  [pdf, other

    cs.CL cs.LG

    $FastDoc$: Domain-Specific Fast Pre-training Technique using Document-Level Metadata and Taxonomy

    Authors: Abhilash Nandy, Manav Nitin Kapadnis, Sohan Patnaik, Yash Parag Butala, Pawan Goyal, Niloy Ganguly

    Abstract: As the demand for sophisticated Natural Language Processing (NLP) models continues to grow, so does the need for efficient pre-training techniques. Current NLP models undergo resource-intensive pre-training. In response, we introduce $FastDoc$ (Fast Pre-training Technique using Document-Level Metadata and Taxonomy), a novel approach designed to significantly reduce computational demands.… ▽ More

    Submitted 14 November, 2023; v1 submitted 9 June, 2023; originally announced June 2023.

    Comments: 38 pages, 7 figures

    MSC Class: 68T50 ACM Class: I.2.7

  9. arXiv:2303.14009  [pdf, other

    cs.CR

    PoisonedGNN: Backdoor Attack on Graph Neural Networks-based Hardware Security Systems

    Authors: Lilas Alrahis, Satwik Patnaik, Muhammad Abdullah Hanif, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: Graph neural networks (GNNs) have shown great success in detecting intellectual property (IP) piracy and hardware Trojans (HTs). However, the machine learning community has demonstrated that GNNs are susceptible to data poisoning attacks, which result in GNNs performing abnormally on graphs with pre-defined backdoor triggers (realized using crafted subgraphs). Thus, it is imperative to ensure that… ▽ More

    Submitted 24 March, 2023; originally announced March 2023.

    Comments: This manuscript is currently under review at IEEE Transactions on Computers

  10. arXiv:2209.01711  [pdf, other

    cs.CR cs.AR

    Hide & Seek: Seeking the (Un)-Hidden key in Provably-Secure Logic Locking Techniques

    Authors: Satwik Patnaik, Nimisha Limaye, Ozgur Sinanoglu

    Abstract: Logic locking protects an IC from threats such as piracy of design IP and unauthorized overproduction throughout the IC supply chain. Out of the several techniques proposed by the research community, provably-secure logic locking (PSLL) has acquired a foothold due to its algorithmic and provable-security guarantees. However, the security of these techniques is questioned by attackers that exploit… ▽ More

    Submitted 4 September, 2022; originally announced September 2022.

    Comments: To Appear in IEEE Transactions on Information Forensics and Security (TIFS), 2022

  11. arXiv:2208.13885  [pdf, ps, other

    cs.CR cs.AI cs.LG

    Reinforcement Learning for Hardware Security: Opportunities, Developments, and Challenges

    Authors: Satwik Patnaik, Vasudev Gohil, Hao Guo, Jeyavijayan, Rajendran

    Abstract: Reinforcement learning (RL) is a machine learning paradigm where an autonomous agent learns to make an optimal sequence of decisions by interacting with the underlying environment. The promise demonstrated by RL-guided workflows in unraveling electronic design automation problems has encouraged hardware security researchers to utilize autonomous RL agents in solving domain-specific problems. From… ▽ More

    Submitted 29 August, 2022; originally announced August 2022.

    Comments: To Appear in 2022 19th International SoC Conference (ISOCC 2022), October 2022

  12. arXiv:2208.12897  [pdf, other

    cs.CR cs.AI cs.LG

    ATTRITION: Attacking Static Hardware Trojan Detection Techniques Using Reinforcement Learning

    Authors: Vasudev Gohil, Hao Guo, Satwik Patnaik, Jeyavijayan, Rajendran

    Abstract: Stealthy hardware Trojans (HTs) inserted during the fabrication of integrated circuits can bypass the security of critical infrastructures. Although researchers have proposed many techniques to detect HTs, several limitations exist, including: (i) a low success rate, (ii) high algorithmic complexity, and (iii) a large number of test patterns. Furthermore, the most pertinent drawback of prior detec… ▽ More

    Submitted 26 August, 2022; originally announced August 2022.

    Comments: To Appear in 2022 ACM SIGSAC Conference on Computer and Communications Security (CCS), November 2022

  13. arXiv:2208.12878  [pdf, other

    cs.LG cs.AI cs.CR

    DETERRENT: Detecting Trojans using Reinforcement Learning

    Authors: Vasudev Gohil, Satwik Patnaik, Hao Guo, Dileep Kalathil, Jeyavijayan, Rajendran

    Abstract: Insertion of hardware Trojans (HTs) in integrated circuits is a pernicious threat. Since HTs are activated under rare trigger conditions, detecting them using random logic simulations is infeasible. In this work, we design a reinforcement learning (RL) agent that circumvents the exponential search space and returns a minimal set of patterns that is most likely to detect HTs. Experimental results o… ▽ More

    Submitted 26 August, 2022; originally announced August 2022.

    Comments: Published in 2022 Design Automation Conference (DAC)

  14. arXiv:2208.08554  [pdf, other

    cs.CR

    Embracing Graph Neural Networks for Hardware Security (Invited Paper)

    Authors: Lilas Alrahis, Satwik Patnaik, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: Graph neural networks (GNNs) have attracted increasing attention due to their superior performance in deep learning on graph-structured data. GNNs have succeeded across various domains such as social networks, chemistry, and electronic design automation (EDA). Electronic circuits have a long history of being represented as graphs, and to no surprise, GNNs have demonstrated state-of-the-art perform… ▽ More

    Submitted 17 August, 2022; originally announced August 2022.

    Comments: To appear at ICCAD 2022

  15. arXiv:2207.13787  [pdf, other

    cs.CE cond-mat.mtrl-sci physics.app-ph

    Multiscale nonlocal beam theory: An application of distributed-order fractional operators

    Authors: Wei Ding, Sansit Patnaik, Fabio Semperlotti

    Abstract: This study presents a comprehensive theoretical framework to simulate the response of multiscale nonlocal elastic beams. By employing distributed-order (DO) fractional operators with a fourth-order tensor as the strength-function, the framework can accurately capture anisotropic behavior of 2D heterogeneous beams with nonlocal effects localized across multiple scales. Building upon this general co… ▽ More

    Submitted 6 July, 2022; originally announced July 2022.

    Comments: This document has 27 pages and 9 figures

  16. arXiv:2205.08478  [pdf, other

    cs.CL cs.IR cs.LG

    An Evaluation Framework for Legal Document Summarization

    Authors: Ankan Mullick, Abhilash Nandy, Manav Nitin Kapadnis, Sohan Patnaik, R Raghav, Roshni Kar

    Abstract: A law practitioner has to go through numerous lengthy legal case proceedings for their practices of various categories, such as land dispute, corruption, etc. Hence, it is important to summarize these documents, and ensure that summaries contain phrases with intent matching the category of the case. To the best of our knowledge, there is no evaluation metric that evaluates a summary based on its i… ▽ More

    Submitted 17 May, 2022; originally announced May 2022.

    Comments: 7 pages, 7 figures, 5 tables, To appear in LREC 2022

  17. arXiv:2205.03509  [pdf, other

    cs.CL cs.IR cs.LG

    Fine-grained Intent Classification in the Legal Domain

    Authors: Ankan Mullick, Abhilash Nandy, Manav Nitin Kapadnis, Sohan Patnaik, R Raghav

    Abstract: A law practitioner has to go through a lot of long legal case proceedings. To understand the motivation behind the actions of different parties/individuals in a legal case, it is essential that the parts of the document that express an intent corresponding to the case be clearly understood. In this paper, we introduce a dataset of 93 legal documents, belonging to the case categories of either Murd… ▽ More

    Submitted 6 May, 2022; originally announced May 2022.

    Comments: 4 pages, 7 tables, 1 figure, appeared in the AAAI-22 workshop on Scientific Document Understanding

  18. Fractional-Order Shell Theory: Formulation and Application to the Analysis of Nonlocal Cylindrical Panels

    Authors: Sai Sidhardh, Sansit Patnaik, Fabio Semperlotti

    Abstract: We present a theoretical and computational framework based on fractional calculus for the analysis of the nonlocal static response of cylindrical shell panels. The differ-integral nature of fractional derivatives allows an efficient and accurate methodology to account for the effect of long-range (nonlocal) interactions in curved structures. More specifically, the use of frame-invariant fractional… ▽ More

    Submitted 1 February, 2022; originally announced February 2022.

  19. arXiv:2201.01219  [pdf, other

    cs.CE math.NA physics.app-ph

    Multiscale Nonlocal Elasticity: A Distributed Order Fractional Formulation

    Authors: Wei Ding, Sansit Patnaik, Fabio Semperlotti

    Abstract: This study presents a generalized multiscale nonlocal elasticity theory that leverages distributed order fractional calculus to accurately capture coexisting multiscale and nonlocal effects within a macroscopic continuum. The nonlocal multiscale behavior is captured via distributed order fractional constitutive relations derived from a nonlocal thermodynamic formulation. The governing equations of… ▽ More

    Submitted 24 December, 2021; originally announced January 2022.

    Comments: 31 pages, 9 images, 3 Tables

  20. arXiv:2112.07178  [pdf, other

    cs.CR

    MuxLink: Circumventing Learning-Resilient MUX-Locking Using Graph Neural Network-based Link Prediction

    Authors: Lilas Alrahis, Satwik Patnaik, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: Logic locking has received considerable interest as a prominent technique for protecting the design intellectual property from untrusted entities, especially the foundry. Recently, machine learning (ML)-based attacks have questioned the security guarantees of logic locking, and have demonstrated considerable success in deciphering the secret key without relying on an oracle, hence, proving to be v… ▽ More

    Submitted 14 December, 2021; originally announced December 2021.

    Comments: Will be published in Proc. Design, Automation and Test in Europe (DATE) 2022

  21. arXiv:2111.07062  [pdf, other

    cs.CR

    UNTANGLE: Unlocking Routing and Logic Obfuscation Using Graph Neural Networks-based Link Prediction

    Authors: Lilas Alrahis, Satwik Patnaik, Muhammad Abdullah Hanif, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: Logic locking aims to prevent intellectual property (IP) piracy and unauthorized overproduction of integrated circuits (ICs). However, initial logic locking techniques were vulnerable to the Boolean satisfiability (SAT)-based attacks. In response, researchers proposed various SAT-resistant locking techniques such as point function-based locking and symmetric interconnection (SAT-hard) obfuscation.… ▽ More

    Submitted 13 November, 2021; originally announced November 2021.

    Comments: Published in 2021 International Conference On Computer-Aided Design (ICCAD)

  22. arXiv:2110.12370  [pdf, other

    cs.CL

    Team Enigma at ArgMining-EMNLP 2021: Leveraging Pre-trained Language Models for Key Point Matching

    Authors: Manav Nitin Kapadnis, Sohan Patnaik, Siba Smarak Panigrahi, Varun Madhavan, Abhilash Nandy

    Abstract: We present the system description for our submission towards the Key Point Analysis Shared Task at ArgMining 2021. Track 1 of the shared task requires participants to develop methods to predict the match score between each pair of arguments and keypoints, provided they belong to the same topic under the same stance. We leveraged existing state of the art pre-trained language models along with inco… ▽ More

    Submitted 24 October, 2021; originally announced October 2021.

  23. arXiv:2107.14695  [pdf, other

    q-fin.ST cs.LG

    A data-science-driven short-term analysis of Amazon, Apple, Google, and Microsoft stocks

    Authors: Shubham Ekapure, Nuruddin Jiruwala, Sohan Patnaik, Indranil SenGupta

    Abstract: In this paper, we implement a combination of technical analysis and machine/deep learning-based analysis to build a trend classification model. The goal of the paper is to apprehend short-term market movement, and incorporate it to improve the underlying stochastic model. Also, the analysis presented in this paper can be implemented in a \emph{model-independent} fashion. We execute a data-science-… ▽ More

    Submitted 30 July, 2021; originally announced July 2021.

    Comments: 19 pages, 10 figures, 7 tables. [This is a REU paper]

    MSC Class: 68T05; 68T07; 91G15 ACM Class: I.2.6

  24. Design Optimization of Monoblade Autorotating Pods To Exhibit an Unconventional Descent Technique Using Glauert's Modelling

    Authors: Kanishk, Shashwat Patnaik

    Abstract: Many unconventional descent mechanisms are evolved in nature to maximize the dispersion of seeds to increase the population of floral species. The induced autorotation produces lift through asymmetrical weight distribution, increasing the fall duration and giving the seed extra time to get drifted away by the wind. The proposed bio-inspired concept was used to produce novel modern pods for various… ▽ More

    Submitted 1 July, 2021; originally announced July 2021.

    MSC Class: 65Dxx ACM Class: I.6.5; J.0; J.6

  25. arXiv:2104.05818  [pdf, other

    math.NA cs.CE physics.app-ph

    Displacement-Driven Approach to Nonlocal Elasticity

    Authors: Sansit Patnaik, Sai Sidhardh, Fabio Semperlotti

    Abstract: This study presents a physically consistent displacement-driven reformulation of the concept of action-at-a-distance, which is at the foundation of nonlocal elasticity. In contrast to existing approaches that adopts an integral stress-strain constitutive relation, the displacement-driven approach is predicated on an integral strain-displacement relation. The most remarkable consequence of this ref… ▽ More

    Submitted 7 April, 2021; originally announced April 2021.

    Comments: 7 figures, 20 pages of main text

  26. UNSAIL: Thwarting Oracle-Less Machine Learning Attacks on Logic Locking

    Authors: Lilas Alrahis, Satwik Patnaik, Johann Knechtel, Hani Saleh, Baker Mohammad, Mahmoud Al-Qutayri, Ozgur Sinanoglu

    Abstract: Logic locking aims to protect the intellectual property (IP) of integrated circuit (IC) designs throughout the globalized supply chain. The SAIL attack, based on tailored machine learning (ML) models, circumvents combinational logic locking with high accuracy and is amongst the most potent attacks as it does not require a functional IC acting as an oracle. In this work, we propose UNSAIL, a logic… ▽ More

    Submitted 9 February, 2021; v1 submitted 29 December, 2020; originally announced December 2020.

    Comments: IEEE Transactions on Information Forensics and Security (TIFS)

  27. arXiv:2012.05948  [pdf, other

    cs.CR

    GNNUnlock: Graph Neural Networks-based Oracle-less Unlocking Scheme for Provably Secure Logic Locking

    Authors: Lilas Alrahis, Satwik Patnaik, Faiq Khalid, Muhammad Abdullah Hanif, Hani Saleh, Muhammad Shafique, Ozgur Sinanoglu

    Abstract: In this paper, we propose GNNUnlock, the first-of-its-kind oracle-less machine learning-based attack on provably secure logic locking that can identify any desired protection logic without focusing on a specific syntactic topology. The key is to leverage a well-trained graph neural network (GNN) to identify all the gates in a given locked netlist that belong to the targeted protection logic, witho… ▽ More

    Submitted 10 December, 2020; originally announced December 2020.

    Comments: 6 pages, 4 figures, 6 tables, conference

  28. arXiv:2009.13797  [pdf, other

    eess.SP cs.NI

    Internet Photonic Sensing: Using Internet Fiber Optics for Vibration Measurement and Monitoring

    Authors: Shreeshrita Patnaik, Paul Barford, Dante Fratta, Bill Jensen, Neal Lord, Matt Malloy, Herb Wang

    Abstract: In this paper, we introduce Internet Photonic Sensing (IPS), a new framework for deformation and vibration measurement and monitoring based on signals that are available from standard fiber optic communication hardware deployed in the Internet. IPS is based on the hypothesis that atmospheric, seismic, anthropogenic and other natural activity cause vibrations in the earth that trigger detectable ch… ▽ More

    Submitted 29 September, 2020; originally announced September 2020.

    Comments: 7 pages, 9 figures

    ACM Class: B.4.1; C.2.3; J.2

  29. 2.5D Root of Trust: Secure System-Level Integration of Untrusted Chiplets

    Authors: Mohammed Nabeel, Mohammed Ashraf, Satwik Patnaik, Vassos Soteriou, Ozgur Sinanoglu, Johann Knechtel

    Abstract: Dedicated, after acceptance and publication, in memory of the late Vassos Soteriou. For the first time, we leverage the 2.5D interposer technology to establish system-level security in the face of hardware- and software-centric adversaries. More specifically, we integrate chiplets (i.e., third-party hard intellectual property of complex functionality, like microprocessors) using a security-enforci… ▽ More

    Submitted 29 September, 2020; v1 submitted 4 September, 2020; originally announced September 2020.

    Comments: [v2] Dedicated, after acceptance and publication, in memory of the late Vassos Soteriou. Besides, scaled down some figures for smaller overall file size

  30. arXiv:2008.11528  [pdf, other

    cs.CE cond-mat.mtrl-sci math.NA

    Fractional-Order Structural Stability: Formulation and Application to the Critical Load of Slender Structures

    Authors: Sai Sidhardh, Sansit Patnaik, Fabio Semperlotti

    Abstract: This study presents the framework to perform a stability analysis of nonlocal solids whose response is formulated according to the fractional-order continuum theory. In this formulation, space fractional-order operators are used to capture the nonlocal response of the medium by introducing nonlocal kinematic relations. First, we use the geometrically nonlinear fractional-order kinematic relations… ▽ More

    Submitted 15 August, 2020; originally announced August 2020.

    Comments: 5 Figures, 9 Tables

  31. arXiv:2008.10996  [pdf, other

    cond-mat.mtrl-sci cs.CE math.NA

    Variable-Order Fracture Mechanics and its Application to Dynamic Fracture

    Authors: Sansit Patnaik, Fabio Semperlotti

    Abstract: This study presents the formulation, the numerical solution, and the validation of a theoretical framework based on the concept of variable-order mechanics and capable of modeling dynamic fracture in brittle and quasi-brittle solids. More specifically, the reformulation of the elastodynamic problem via variable and fractional order operators enables a unique and extremely powerful approach to mode… ▽ More

    Submitted 16 August, 2020; originally announced August 2020.

    Comments: 14 pages, 3 images

  32. Attacking Split Manufacturing from a Deep Learning Perspective

    Authors: Haocheng Li, Satwik Patnaik, Abhrajit Sengupta, Haoyu Yang, Johann Knechtel, Bei Yu, Evangeline F. Y. Young, Ozgur Sinanoglu

    Abstract: The notion of integrated circuit split manufacturing which delegates the front-end-of-line (FEOL) and back-end-of-line (BEOL) parts to different foundries, is to prevent overproduction, piracy of the intellectual property (IP), or targeted insertion of hardware Trojans by adversaries in the FEOL facility. In this work, we challenge the security promise of split manufacturing by formulating various… ▽ More

    Submitted 8 July, 2020; originally announced July 2020.

  33. arXiv:2007.03987  [pdf, other

    cs.CR physics.app-ph

    Power Side-Channel Attacks in Negative Capacitance Transistor (NCFET)

    Authors: Johann Knechtel, Satwik Patnaik, Mohammed Nabeel, Mohammed Ashraf, Yogesh S. Chauhan, Jörg Henkel, Ozgur Sinanoglu, Hussam Amrouch

    Abstract: Side-channel attacks have empowered bypassing of cryptographic components in circuits. Power side-channel (PSC) attacks have received particular traction, owing to their non-invasiveness and proven effectiveness. Aside from prior art focused on conventional technologies, this is the first work to investigate the emerging Negative Capacitance Transistor (NCFET) technology in the context of PSC atta… ▽ More

    Submitted 8 July, 2020; originally announced July 2020.

  34. arXiv:2005.10079  [pdf, other

    math.NA cs.CE math.DS

    Towards a Generalized Approach to Nonlocal Elasticity via Fractional-Order Mechanics

    Authors: Sansit Patnaik, Sai Sidhardh, Fabio Semperlotti

    Abstract: This study presents a fractional-order continuum mechanics approach that allows combining selected characteristics of nonlocal elasticity, typical of classical integral and gradient formulations, under a single frame-invariant framework. The resulting generalized theory is capable of capturing both stiffening and softening effects and it is not subject to the inconsistencies often observed under s… ▽ More

    Submitted 18 May, 2020; originally announced May 2020.

    Comments: 36 pages, 15 figures

  35. Mining International Political Norms from the GDELT Database

    Authors: Rohit Murali, Suravi Patnaik, Stephen Cranefield

    Abstract: Researchers have long been interested in the role that norms can play in governing agent actions in multi-agent systems. Much work has been done on formalising normative concepts from human society and adapting them for the government of open software systems, and on the simulation of normative processes in human and artificial societies. However, there has been comparatively little work on applyi… ▽ More

    Submitted 20 April, 2020; v1 submitted 31 March, 2020; originally announced March 2020.

    Comments: 16 pages, 2 figures, pre-print for International Workshop on Coordination, Organizations, Institutions, Norms and Ethics for Governance of Multi-Agent Systems (COINE), co-located with AAMAS 2020

  36. Obfuscating the Interconnects: Low-Cost and Resilient Full-Chip Layout Camouflaging

    Authors: Satwik Patnaik, Mohammed Ashraf, Ozgur Sinanoglu, Johann Knechtel

    Abstract: Layout camouflaging can protect the intellectual property of modern circuits. Most prior art, however, incurs excessive layout overheads and necessitates customization of active-device manufacturing processes, i.e., the front-end-of-line (FEOL). As a result, camouflaging has typically been applied selectively, which can ultimately undermine its resilience. Here, we propose a low-cost and generic s… ▽ More

    Submitted 21 March, 2020; originally announced March 2020.

    Comments: arXiv admin note: text overlap with arXiv:1711.05284

  37. arXiv:2002.10244  [pdf, other

    cs.CE math.AP math.DS math.NA

    Fractional-Order Models for the Static and Dynamic Analysis of Nonlocal Plates

    Authors: Sansit Patnaik, Sai Sidhardh, Fabio Semperlotti

    Abstract: This study presents the analytical formulation and the finite element solution of fractional order nonlocal plates under both Mindlin and Kirchoff formulations. By employing consistent definitions for fractional-order kinematic relations, the governing equations and the associated boundary conditions are derived based on variational principles. Remarkably, the fractional-order nonlocal model gives… ▽ More

    Submitted 19 February, 2020; originally announced February 2020.

    Comments: 26 pages, 3 figures, 13 Tables. arXiv admin note: text overlap with arXiv:2001.06885, arXiv:2002.07148

  38. A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects

    Authors: Satwik Patnaik, Mohammed Ashraf, Ozgur Sinanoglu, Johann Knechtel

    Abstract: Split manufacturing (SM) and layout camouflaging (LC) are two promising techniques to obscure integrated circuits (ICs) from malicious entities during and after manufacturing. While both techniques enable protecting the intellectual property (IP) of ICs, SM can further mitigate the insertion of hardware Trojans (HTs). In this paper, we strive for the "best of both worlds," that is we seek to combi… ▽ More

    Submitted 11 August, 2019; originally announced August 2019.

    Comments: Accepted for IEEE TETC

  39. arXiv:1907.13229  [pdf, other

    physics.app-ph cs.CR physics.optics

    Toward Physically Unclonable Functions from Plasmonics-Enhanced Silicon Disc Resonators

    Authors: Johann Knechtel, Jacek Gosciniak, Alabi Bojesomo, Satwik Patnaik, Ozgur Sinanoglu, Mahmoud Rasras

    Abstract: The omnipresent digitalization trend has enabled a number of related malicious activities, ranging from data theft to disruption of businesses, counterfeiting of devices, and identity fraud, among others. Hence, it is essential to implement security schemes and to ensure the reliability and trustworthiness of electronic circuits. Toward this end, the concept of physically unclonable functions (PUF… ▽ More

    Submitted 17 June, 2019; originally announced July 2019.

    Comments: IEEE/OSA J. Lightwave Technology (JLT), 2019

  40. 3D Integration: Another Dimension Toward Hardware Security

    Authors: Johann Knechtel, Satwik Patnaik, Ozgur Sinanoglu

    Abstract: We review threats and selected schemes concerning hardware security at design and manufacturing time as well as at runtime. We find that 3D integration can serve well to enhance the resilience of different hardware security schemes, but it also requires thoughtful use of the options provided by the umbrella term of 3D integration. Toward enforcing security at runtime, we envision secure 2.5D syste… ▽ More

    Submitted 6 June, 2019; originally announced June 2019.

    Comments: IEEE IOLTS 2019

  41. arXiv:1906.02044  [pdf, other

    cs.CR cs.ET

    An Interposer-Based Root of Trust: Seize the Opportunity for Secure System-Level Integration of Untrusted Chiplets

    Authors: Mohammed Nabeel, Mohammed Ashraf, Satwik Patnaik, Vassos Soteriou, Ozgur Sinanoglu, Johann Knechtel

    Abstract: Leveraging 2.5D interposer technology, we advocate the integration of untrusted commodity components/chiplets with physically separate, entrusted logic components. Such organization provides a modern root of trust for secure system-level integration. We showcase our scheme by utilizing industrial ARM components that are interconnected via a security-providing active interposer, and thoroughly eval… ▽ More

    Submitted 5 June, 2019; originally announced June 2019.

  42. arXiv:1904.00421  [pdf, other

    cs.ET cond-mat.mes-hall cs.CR

    Spin-Orbit Torque Devices for Hardware Security: From Deterministic to Probabilistic Regime

    Authors: Satwik Patnaik, Nikhil Rangarajan, Johann Knechtel, Ozgur Sinanoglu, Shaloo Rakheja

    Abstract: Protecting intellectual property (IP) has become a serious challenge for chip designers. Most countermeasures are tailored for CMOS integration and tend to incur excessive overheads, resulting from additional circuitry or device-level modifications. On the other hand, power density is a critical concern for sub-50 nm nodes, necessitating alternate design concepts. Although initially tailored for e… ▽ More

    Submitted 31 March, 2019; originally announced April 2019.

    Comments: To be published in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems

  43. SMART: Secure Magnetoelectric AntifeRromagnet-Based Tamper-Proof Non-Volatile Memory

    Authors: Nikhil Rangarajan, Satwik Patnaik, Johann Knechtel, Ozgur Sinanoglu, Shaloo Rakheja

    Abstract: The storage industry is moving toward emerging non-volatile memories (NVMs), including the spin-transfer torque magnetoresistive random-access memory (STT-MRAM) and the phase-change memory (PCM), owing to their high density and low-power operation. In this paper, we demonstrate, for the first time, circuit models and performance benchmarking for the domain wall (DW) reversal-based magnetoelectric-… ▽ More

    Submitted 26 April, 2020; v1 submitted 20 February, 2019; originally announced February 2019.

    Comments: in IEEE Access, 2020

  44. Protect Your Chip Design Intellectual Property: An Overview

    Authors: Johann Knechtel, Satwik Patnaik, Ozgur Sinanoglu

    Abstract: The increasing cost of integrated circuit (IC) fabrication has driven most companies to "go fabless" over time. The corresponding outsourcing trend gave rise to various attack vectors, e.g., illegal overproduction of ICs, piracy of the design intellectual property (IP), or insertion of hardware Trojans (HTs). These attacks are possibly conducted by untrusted entities residing all over the supply c… ▽ More

    Submitted 24 February, 2019; v1 submitted 14 February, 2019; originally announced February 2019.

    Comments: INTERNATIONAL CONFERENCE ON OMNI-LAYER INTELLIGENT SYSTEMS (COINS), May 5--7, 2019, Crete, Greece; 6 pages, 3 figures, 1 table; [v2]: minor edits and update references, as in camera copy for COINS; [v3]: added ACM reference format and CCS concepts, minor edits

  45. Best of Both Worlds: Integration of Split Manufacturing and Camouflaging into a Security-Driven CAD Flow for 3D ICs

    Authors: Satwik Patnaik, Mohammed Ashraf, Ozgur Sinanoglu, Johann Knechtel

    Abstract: With the globalization of manufacturing and supply chains, ensuring the security and trustworthiness of ICs has become an urgent challenge. Split manufacturing (SM) and layout camouflaging (LC) are promising techniques to protect the intellectual property (IP) of ICs from malicious entities during and after manufacturing (i.e., from untrusted foundries and reverse-engineering by end-users). In thi… ▽ More

    Submitted 16 November, 2018; originally announced November 2018.

    Comments: Published in Proc. International Conference On Computer Aided Design (ICCAD) 2018

  46. arXiv:1811.06012  [pdf, other

    cs.CR cond-mat.mes-hall cs.ET

    Opening the Doors to Dynamic Camouflaging: Harnessing the Power of Polymorphic Devices

    Authors: Nikhil Rangarajan, Satwik Patnaik, Johann Knechtel, Ramesh Karri, Ozgur Sinanoglu, Shaloo Rakheja

    Abstract: The era of widespread globalization has led to the emergence of hardware-centric security threats throughout the IC supply chain. Prior defenses like logic locking, layout camouflaging, and split manufacturing have been researched extensively to protect against intellectual property (IP) piracy at different stages. In this work, we present dynamic camouflaging as a new technique to thwart IP rever… ▽ More

    Submitted 8 July, 2020; v1 submitted 14 November, 2018; originally announced November 2018.

    Comments: Published TETC version; original arxiv preprint found in v1

  47. Raise Your Game for Split Manufacturing: Restoring the True Functionality Through BEOL

    Authors: Satwik Patnaik, Mohammed Ashraf, Johann Knechtel, Ozgur Sinanoglu

    Abstract: Split manufacturing (SM) seeks to protect against piracy of intellectual property (IP) in chip designs. Here we propose a scheme to manipulate both placement and routing in an intertwined manner, thereby increasing the resilience of SM layouts. Key stages of our scheme are to (partially) randomize a design, place and route the erroneous netlist, and restore the original design by re-routing the BE… ▽ More

    Submitted 24 June, 2018; originally announced June 2018.

    Comments: Design Automation Conference 2018

  48. arXiv:1806.00790  [pdf, other

    cs.CR cond-mat.mes-hall cs.ET

    Advancing Hardware Security Using Polymorphic and Stochastic Spin-Hall Effect Devices

    Authors: Satwik Patnaik, Nikhil Rangarajan, Johann Knechtel, Ozgur Sinanoglu, Shaloo Rakheja

    Abstract: Protecting intellectual property (IP) in electronic circuits has become a serious challenge in recent years. Logic locking/encryption and layout camouflaging are two prominent techniques for IP protection. Most existing approaches, however, particularly those focused on CMOS integration, incur excessive design overheads resulting from their need for additional circuit structures or device-level mo… ▽ More

    Submitted 3 June, 2018; originally announced June 2018.

    Comments: Published in Proc. Design, Automation and Test in Europe (DATE) 2018

  49. Concerted Wire Lifting: Enabling Secure and Cost-Effective Split Manufacturing

    Authors: Satwik Patnaik, Johann Knechtel, Mohammed Ashraf, Ozgur Sinanoglu

    Abstract: Here we advance the protection of split manufacturing (SM)-based layouts through the judicious and well-controlled handling of interconnects. Initially, we explore the cost-security trade-offs of SM, which are limiting its adoption. Aiming to resolve this issue, we propose effective and efficient strategies to lift nets to the BEOL. Towards this end, we design custom "elevating cells" which we als… ▽ More

    Submitted 3 June, 2018; originally announced June 2018.

    Comments: Published in Proc. Asia South Pac. Des. Autom. Conf. (ASPDAC) 2018

  50. arXiv:1802.04252  [pdf

    cs.CY

    Automatic Phone Slip Detection System

    Authors: Karthik R, Preetam Satapath, Srivatsa Patnaik, Saurabh Priyadarshi, Rajesh Kumar M

    Abstract: Mobile phones are becoming increasingly advanced and the latest ones are equipped with many diverse and powerful sensors. These sensors can be used to study different position and orientation of the phone which can help smartphone manufacture to track about their customers handling from the recorded log. The inbuilt sensors such as the accelerometer and gyroscope present in our phones are used to… ▽ More

    Submitted 10 February, 2018; originally announced February 2018.

    Comments: Accepted for publication in Springer LNEE