Skip to main content

Showing 1–5 of 5 results for author: Wallat, S

  1. A Look at the Dark Side of Hardware Reverse Engineering -- A Case Study

    Authors: Sebastian Wallat, Marc Fyrbiak, Moritz Schlögel, Christof Paar

    Abstract: A massive threat to the modern and complex IC production chain is the use of untrusted off-shore foundries which are able to infringe valuable hardware design IP or to inject hardware Trojans causing severe loss of safety and security. Similarly, market dominating SRAM-based FPGAs are vulnerable to both attacks since the crucial gate-level netlist can be retrieved even in field for the majority of… ▽ More

    Submitted 1 October, 2019; originally announced October 2019.

    Journal ref: 2017 IEEE 2nd International Verification and Security Workshop (IVSW)

  2. Hardware Reverse Engineering: Overview and Open Challenges

    Authors: Marc Fyrbiak, Sebastian Strauß, Christian Kison, Sebastian Wallat, Malte Elson, Nikol Rummel, Christof Paar

    Abstract: Hardware reverse engineering is a universal tool for both legitimate and illegitimate purposes. On the one hand, it supports confirmation of IP infringement and detection of circuit malicious manipulations, on the other hand it provides adversaries with crucial information to plagiarize designs, infringe on IP, or implant hardware Trojans into a target circuit. Although reverse engineering is comm… ▽ More

    Submitted 1 October, 2019; originally announced October 2019.

    Journal ref: 2017 IEEE 2nd International Verification and Security Workshop (IVSW)

  3. Insights into the Mind of a Trojan Designer: The Challenge to Integrate a Trojan into the Bitstream

    Authors: Maik Ender, Pawel Swierczynski, Sebastian Wallat, Matthias Wilhelm, Paul Martin Knopp, Christof Paar

    Abstract: The threat of inserting hardware Trojans during the design, production, or in-field poses a danger for integrated circuits in real-world applications. A particular critical case of hardware Trojans is the malicious manipulation of third-party FPGA configurations. In addition to attack vectors during the design process, FPGAs can be infiltrated in a non-invasive manner after shipment through altera… ▽ More

    Submitted 1 October, 2019; originally announced October 2019.

    Journal ref: ASPDAC 2019 Proceedings of the 24th Asia and South Pacific Design Automation Conference Pages 112-119

  4. Highway to HAL: Open-Sourcing the First Extendable Gate-Level Netlist Reverse Engineering Framework

    Authors: Sebastian Wallat, Nils Albartus, Steffen Becker, Max Hoffmann, Maik Ender, Marc Fyrbiak, Adrian Drees, Sebastian Maaßen, Christof Paar

    Abstract: Since hardware oftentimes serves as the root of trust in our modern interconnected world, malicious hardware manipulations constitute a ubiquitous threat in the context of the Internet of Things (IoT). Hardware reverse engineering is a prevalent technique to detect such manipulations. Over the last years, an active research community has significantly advanced the field of hardware reverse engin… ▽ More

    Submitted 1 October, 2019; originally announced October 2019.

    Journal ref: Proceedings of Malicious Software and Hardware in Internet of Things (MAL-IOT 2019). ACM, NewYork, NY, USA, Article 4, 6 pages

  5. Towards Cognitive Obfuscation: Impeding Hardware Reverse Engineering Based on Psychological Insights

    Authors: Carina Wiesen, Nils Albartus, Max Hoffmann, Steffen Becker, Sebastian Wallat, Marc Fyrbiak, Nikol Rummel, Christof Paar

    Abstract: In contrast to software reverse engineering, there are hardly any tools available that support hardware reversing. Therefore, the reversing process is conducted by human analysts combining several complex semi-automated steps. However, countermeasures against reversing are evaluated solely against mathematical models. Our research goal is the establishment of cognitive obfuscation based on the exp… ▽ More

    Submitted 1 October, 2019; originally announced October 2019.

    Journal ref: ASPDAC 2019 Proceedings of the 24th Asia and South Pacific Design Automation Conference Pages 104-111