skip to main content
research-article

autoAx: An Automatic Design Space Exploration and Circuit Building Methodology utilizing Libraries of Approximate Components

Published: 02 June 2019 Publication History
  • Get Citation Alerts
  • Abstract

    Approximate computing is an emerging paradigm for developing highly energy-efficient computing systems such as various accelerators. In the literature, many libraries of elementary approximate circuits have already been proposed to simplify the design process of approximate accelerators. Because these libraries contain from tens to thousands of approximate implementations for a single arithmetic operation it is intractable to find an optimal combination of approximate circuits in the library even for an application consisting of a few operations. An open problem is "how to effectively combine circuits from these libraries to construct complex approximate accelerators". This paper proposes a novel methodology for searching, selecting and combining the most suitable approximate circuits from a set of available libraries to generate an approximate accelerator for a given application. To enable fast design space generation and exploration, the methodology utilizes machine learning techniques to create computational models estimating the overall quality of processing and hardware cost without performing full synthesis at the accelerator level. Using the methodology, we construct hundreds of approximate accelerators (for a Sobel edge detector) showing different but relevant tradeoffs between the quality of processing and hardware cost and identify a corresponding Pareto-frontier. Furthermore, when searching for approximate implementations of a generic Gaussian filter consisting of 17 arithmetic operations, the proposed approach allows us to identify approximately 103 highly relevant implementations from 1023 possible solutions in a few hours, while the exhaustive search would take four months on a high-end processor.

    References

    [1]
    S. Dai, Y. Zhou, et al. 2018. Fast and Accurate Estimation of Quality of Results in High-Level Synthesis with Machine Learning. In Proc. 2018 IEEE 26th Int. Symp. Field-Programmable Custom Computing Machines (FCCM). 129--132.
    [2]
    F. Franchetti, T. M. Low, et al. 2018. SPIRAL: Extreme Performance Portability. Proc. IEEE 106, 11 (Nov 2018), 1935--1968.
    [3]
    M. A. Hanif, R. Hafiz, O. Hasan, and M. Shafique. 2017. QuAd: Design and Analysis of Quality-Area Optimal Low-Latency Approximate Adders. In Design Automation Conference 2017 (DAC '17). Article 42, 6 pages.
    [4]
    Honglan Jiang, Cong Liu, Leibo Liu, Fabrizio Lombardi, and Jie Han. 2017. A Review, Classification, and Comparative Evaluation of Approximate Arithmetic Circuits. J. Emerg. Technol. Comput. Syst. 13, 4, Article 60 (Aug. 2017), 34 pages.
    [5]
    C. Li, W. Luo, S. S. Sapatnekar, and J. Hu. 2015. Joint Precision Optimization and High Level Synthesis for Approximate Computing. In Proc. 52 Annual Design Automation Conference (DAC '15). Article 104, 6 pages.
    [6]
    H. R. Mahdiani, A. Ahmadi, S. M. Fakhraie, and C. Lucas. 2010. Bio-Inspired Imprecise Computational Blocks for Efficient VLSI Implementation of Soft-Computing Applications. IEEE Trans. Circuits Syst. I, Reg. Papers 57, 4 (April 2010), 850--862.
    [7]
    S. Mazahir, O. Hasan, R. Hafiz, and M. Shafique. 2017. Probabilistic Error Analysis of Approximate Recursive Multipliers. IEEE Trans. Comput. 66, 11 (2017).
    [8]
    S. Mazahir, O. Hasan, R. Hafiz, M. Shafique, and J. Henkel. 2017. Probabilistic Error Modeling for Approximate Adders. IEEE Trans. Comput. 66, 3 (March 2017), 515--530.
    [9]
    V. Mrazek, R. Hrbacek, et al. 2017. EvoApprox8b: Library of Approximate Adders and Multipliers for Circuit Design and Benchmarking of Approximation Methods. In Design, Automation Test in Europe Conference Exhibition (DATE), 2017. 258--261.
    [10]
    S. Rehman, W. El-Harouni, M Shafique, A Kumar, and J. Henkel. 2016. Architectural-space Exploration of Approximate Multipliers. In Proc. Int. Conf. on Computer-Aided Design (ICCAD '16). Article 80, 8 pages.
    [11]
    D. Sengupta, F. S. Snigdha, et al. 2017. SABER: Selection of approximate bits for the design of error tolerant circuits. In Design Automation Conference (DAC).
    [12]
    M. Shafique, W. Ahmad, R. Hafiz, and J. Henkel. 2015. A Low Latency Generic Accuracy Configurable Adder. In Proc. Annual Design Automation Conf. (DAC '15). Article 86, 6 pages.
    [13]
    Z. Vasicek and L. Sekanina. 2015. Evolutionary Approach to Approximate Digital Circuits Design. IEEE Tr. Evol. Comp. 19, 3 (June 2015), 432--444.
    [14]
    S. Venkataramani, K. Roy, and A. Raghunathan. 2013. Substitute-and-simplify: A unified design paradigm for approximate and quality configurable circuits. In DATE Design, Automation Test in Europe Conf. 1367--1372.
    [15]
    S. Venkataramani, A. Sabne, et al. 2012. SALSA: Systematic logic synthesis of approximate circuits. In DAC Design Automation Conference 2012. 796--801.
    [16]
    G. Zervakis, S. Xydis, et al. 2018. Multi-Level Approximate Accelerator Synthesis Under Voltage Island Constraints. IEEE Trans. Circuits Syst. II, Exp. Briefs (2018).

    Cited By

    View all
    • (2024)AxOMaP: Designing FPGA-based Approximate Arithmetic Operators using Mathematical ProgrammingACM Transactions on Reconfigurable Technology and Systems10.1145/364869417:2(1-28)Online publication date: 19-Feb-2024
    • (2024)Approximate Fault-Tolerant Neural Network Systems2024 IEEE European Test Symposium (ETS)10.1109/ETS61313.2024.10567290(1-10)Online publication date: 20-May-2024
    • (2023)AxOTreeS: A Tree Search Approach to Synthesizing FPGA-based Approximate OperatorsACM Transactions on Embedded Computing Systems10.1145/360909622:5s(1-26)Online publication date: 31-Oct-2023
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '19: Proceedings of the 56th Annual Design Automation Conference 2019
    June 2019
    1378 pages
    ISBN:9781450367257
    DOI:10.1145/3316781
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Sponsors

    In-Cooperation

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 02 June 2019

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Research-article
    • Research
    • Refereed limited

    Funding Sources

    • Grantová Agentura ðeské Republiky
    • Ministerstvo ðkolství, Mládeðe a Tðlovðchovy

    Conference

    DAC '19
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)48
    • Downloads (Last 6 weeks)6

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)AxOMaP: Designing FPGA-based Approximate Arithmetic Operators using Mathematical ProgrammingACM Transactions on Reconfigurable Technology and Systems10.1145/364869417:2(1-28)Online publication date: 19-Feb-2024
    • (2024)Approximate Fault-Tolerant Neural Network Systems2024 IEEE European Test Symposium (ETS)10.1109/ETS61313.2024.10567290(1-10)Online publication date: 20-May-2024
    • (2023)AxOTreeS: A Tree Search Approach to Synthesizing FPGA-based Approximate OperatorsACM Transactions on Embedded Computing Systems10.1145/360909622:5s(1-26)Online publication date: 31-Oct-2023
    • (2023)CoOAx: Correlation-aware Synthesis of FPGA-based Approximate OperatorsProceedings of the Great Lakes Symposium on VLSI 202310.1145/3583781.3590222(671-677)Online publication date: 5-Jun-2023
    • (2023)SyFAxO-GeNProceedings of the 28th Asia and South Pacific Design Automation Conference10.1145/3566097.3567891(402-409)Online publication date: 16-Jan-2023
    • (2023)Efficient Error Estimation for High-Level Design Space Exploration of Approximate Computing SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.327347831:7(917-930)Online publication date: 1-Jul-2023
    • (2023)Application Specific Approximate Behavioral ProcessorIEEE Transactions on Sustainable Computing10.1109/TSUSC.2022.32221178:2(165-179)Online publication date: 1-Apr-2023
    • (2023)An Energy-Efficient Generic Accuracy Configurable Multiplier Based on Block-Level Voltage OverscalingIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2023.327941911:4(851-867)Online publication date: Oct-2023
    • (2023)A Catalog-Based AIG-Rewriting Approach to the Design of Approximate ComponentsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2022.317050211:1(70-81)Online publication date: 1-Jan-2023
    • (2023)Efficient Accelerator Design in High-Level Synthesis Using Approximate Logic Components2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI)10.1109/ISVLSI59464.2023.10238558(1-6)Online publication date: 20-Jun-2023
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media